SlideShare ist ein Scribd-Unternehmen logo
1 von 55
Downloaden Sie, um offline zu lesen
A Tutorial on VHDL Synthesis, Place and Route for
FPGA and ASIC Technologies
Anup Gangwar
Embedded Systems Group,
Department of Computer Science and Engineering,
Indian Institute of Technology Delhi
http://embedded.cse.iitd.ernet.in

October 4, 2004

ESG Seminar Series

http://embedded.cse.iitd.ernet.in

Slide 1/55
Outline
Overview
VHDL Coding Styles
FPGA Synthesis, Place and Route
Demo of FPGA Synthesis, Place and Route
ASIC Synthesis, Place and Route
Demo of ASIC Synthesis, Place and Route
Conclusions and Further Reading

ESG Seminar Series

http://embedded.cse.iitd.ernet.in

Slide 2/55
Overview
VHDL is not a programming language
What you can expect to learn:
Good VHDL coding styles
Overview of available tools
A typical design flow and scripting for automation
Demos are planned but will only be shown if time permits
All the scripts are in ∼help/syntut

ESG Seminar Series

http://embedded.cse.iitd.ernet.in

Slide 3/55
Outline
Overview
VHDL Coding Styles
FPGA Synthesis, Place and Route
Demo of FPGA Synthesis, Place and Route
ASIC Synthesis, Place and Route
Demo of ASIC Synthesis, Place and Route
Conclusions and Further Reading

ESG Seminar Series

http://embedded.cse.iitd.ernet.in

Slide 4/55
The Two Process Model
architecture rtl of accu is
signal reg
: std_logic_vector( 7 downto 0 );
begin
o <= reg;
process( clk, resetn, i )
begin
if( resetn = ’0’ ) then
reg <= (others => ’0’);
elsif( clk’event and clk = ’1’ ) then
reg <= reg + i;
end if;
end process;
end rtl;

ESG Seminar Series

http://embedded.cse.iitd.ernet.in

Slide 5/55
The Two Process Model (contd...)
.....
o <= reg;
process( i, reg )
--Combinational
begin
tmp <= reg + i;
end process;
process( clk, resetn )
--Registers
begin
if( resetn = ’0’ ) then
reg <= (others => ’0’);
elsif( clk’event and clk = ’1’ ) then
reg <= tmp;
end if;
end process;
.....
ESG Seminar Series

http://embedded.cse.iitd.ernet.in

Slide 6/55
The Two Process Model (contd...)
Dataflow model is difficult to understand
Sequential parts of the code are easily identified
Easy to understand and maintain
Does Not compromise efficiency
Simplifies debugging

ESG Seminar Series

http://embedded.cse.iitd.ernet.in

Slide 7/55
Making Use of Records
Records are analogous to structures in C
Simplify port declarations
Easier to add a new port to an entity

ESG Seminar Series

http://embedded.cse.iitd.ernet.in

Slide 8/55
Making Use of Records (contd...)
Example:

entity accu is
port(
clk
resetn
i
o

:
:
:
:

in std_logic;
in std_logic;
in std_logic_vector( 7 downto 0 );
out std_logic_vector( 7 downto 0 ));

end accu;
To add a new port all modules using accu wil need to be modified

ESG Seminar Series

http://embedded.cse.iitd.ernet.in

Slide 9/55
Making Use of Records (contd...)
Package Declaration:

...
type accu_in_type is record
i
: std_logic_vector(7 downto 0);
end record;
type accu_out_type is record
o
: std_logic_vector(7 downto 0);
end record;
...

ESG Seminar Series

http://embedded.cse.iitd.ernet.in

Slide 10/55
Making Use of Records (contd...)
Entity Declaration:

entity accu is
port(
clk
resetn
i
o

:
:
:
:

in std_logic;
in std_logic;
in accu_in_type;
out accu_out_type);

end accu;
Addition of new port does not change entity declaration

ESG Seminar Series

http://embedded.cse.iitd.ernet.in

Slide 11/55
Writing Parameterized VHDL
entity accu is
generic(
width
port(
clk
resetn
i
o
end accu;

ESG Seminar Series

: integer := 8);
:
:
:
:

in std_logic;
in std_logic;
in std_logic_vector( width downto 0 );
out std_logic_vector( width downto 0 ));

http://embedded.cse.iitd.ernet.in

Slide 12/55
Writing Parameterized VHDL (contd...)
...
ACCU: if (ACCUTYPE = ONE) generate
ACCU_TYP_ONE: accu_one
generic map(
width
=> DATAWIDTH,
port map(
clk
=> clk,
resetn
=> resetn,
i
=> i,
o
=> o);
end generate ACCU_TYP_ONE;
...
DATAWIDTH and ACCUTYPE are defined globally at one place making it easy
to change configuration
ESG Seminar Series

http://embedded.cse.iitd.ernet.in

Slide 13/55
Under specified FSM and Inferred Latches
Under specified FSM means that values will be held on wires which
would lead to latches being inferred by the synthesis tool
Problems with inferred latched:
Verification tools will fail to match functionality
Unnecessary logic will get generated
The FSM might enter undefined state and get stuck i.e. incorrect
functionality

ESG Seminar Series

http://embedded.cse.iitd.ernet.in

Slide 14/55
Under specified FSM and Inferred Latches
Example:

...
architecture rtl of dummy is
type states is ( free, working );
signal curr_state, next_state : states;
begin
process( curr_state )
begin
case curr_state is
when free => if( inp = ’1’) then
next_state <= working;
end if;
end case;
end process;
...
ESG Seminar Series

http://embedded.cse.iitd.ernet.in

Slide 15/55
Under specified FSM (contd...)
...
process( clk, resetn )
begin
if( resetn = ’0’ ) then
curr_state <= free;
elsif( clk’event and clk = ’1’ ) then
curr_state <= next_state;
end if;
end process;
...
Latch inferred for next_state

ESG Seminar Series

http://embedded.cse.iitd.ernet.in

Slide 16/55
Under specified FSM (contd...)
Example:

...
architecture rtl of dummy is
type states is ( free, working );
signal curr_state, next_state : states;
begin
process( curr_state, inp )
begin
case curr_state is
when free
=> if( inp = ’1’) then
next_state <= working;
end if;
when others => next_state <= working;
end case;
end process;
ESG Seminar Series

http://embedded.cse.iitd.ernet.in

Slide 17/55
Under specified FSM (contd...)
FSM is now fully specified
Using case statements for FSM is better than if-else as muxes are
generated in first case and priority hardware in second
Priority hardware makes the circuit slow

ESG Seminar Series

http://embedded.cse.iitd.ernet.in

Slide 18/55
Synchronous and Asynchronous Resets
Synchronous Reset

Asynchronous Reset

Large number of gates

Less number of gates

Reset pulse should be large enough for

Only to cover setup and hold times

clock to become active
No metastability problems

Mestability problems

Slow

Fast

Consumes more power

Consumes less power

Small reset glitches are automatically

May reset the circuit if glitch covers

filtered

setup and hold time

No choice is in general better, depends on design
Can convert asynchronous reset to synchronous and then use as
asynchronous input to all flip-flops
ESG Seminar Series

http://embedded.cse.iitd.ernet.in

Slide 19/55
Attributes
Attributes are similar to #PRAGMA directives for compilers
These are dependent on the synthesis tool being used
Example (for Synplify):

module STARTUP_VIRTEX (CLK, GSR, GTS) /*synthesis syn_black_box */;
input
CLK
/* synthesis syn_isclock = 1 */;
input
GSR, GTS;
endmodule
...
module OBUF (O, I) /* synthesis syn_black_box */;
output O;
input I;
endmodule

ESG Seminar Series

http://embedded.cse.iitd.ernet.in

Slide 20/55
Utilizing Readily Available IP
Xilinx Coregen
Adders, multipliers etc.
Build complex modules using hardware available in FPGA
(multipliers)
Synopsys DesignWare
Adders, multipliers, ALUs etc.
Simulation models are available
Free IP from OpenCores.org
Complex hardware cores from floating-point unit to processors
Integration is complex with other larger cores
Tradeoff between integration, validation and effort required to write the
IP yourself

ESG Seminar Series

http://embedded.cse.iitd.ernet.in

Slide 21/55
VHDL Coding Styles: Summary
Try to use the two process model
Group your port signals in records
Try to write parameterized code, this doesn’t sacrifice efficiency
Fully specify your FSM
Make intelligent choice about synchronous and asynchronous resets
Make extensive use of parameterized and freely available IP

ESG Seminar Series

http://embedded.cse.iitd.ernet.in

Slide 22/55
Outline
Overview
VHDL Coding Styles
FPGA Synthesis, Place and Route
Demo of FPGA Synthesis, Place and Route
ASIC Synthesis, Place and Route
Demo of ASIC Synthesis, Place and Route
Conclusions and Further Reading

ESG Seminar Series

http://embedded.cse.iitd.ernet.in

Slide 23/55
Typical Flow

Modify VHDL Model

VHDL Model

FPGA Synthesizer
(VHDL to Gate Level Netlist)

User Options

Post−synthesis
Simulation

No

OK?
Yes

Vendor P&R Tools

User Constraints
(UCF)

Output FPGA Configuration (bit) File
ESG Seminar Series

http://embedded.cse.iitd.ernet.in

Slide 24/55
Available Synthesis Tools
FPGA Express:
Advantages: None, Our first LEON synthesized only with it -:)
Disadvantaegs: Buggy, slow, runs only on Windows
Vendor: Synopsys
Status: Synopsys has discontinued it, no longer bundled with Xilinx
tools
Xilinx Synthesis Tool (XST):
Advantages: Bundled with Xilinx ISE, Can automatically infer Xilinx
FPGA components, runs on UNIX (Solaris and GNU/Linux)
Disadvantaegs: Still buggy, Only supports Xilinx devices
Vendor: Xilinx
Status: Active support from Xilinx

ESG Seminar Series

http://embedded.cse.iitd.ernet.in

Slide 25/55
Available Synthesis Tools (contd...)
Leonardo Spectrum:
Advantages: Supports large family of FPGA devices, Reliable, Can
automatically infer FPGA components
Disadvantages: Runs on Solaris, Replaced by Precision-RTL
Vendor: Mentor Graphics
Status: To be replaced soon
Synplify:
Advantages: Most trusted in industry, Support large family of FPGA
devices, Can automatically infer FPGA components
Disadvantages: Some optimizers are still buggy (FPGA Compiler)
Vendor: Synplicity
Status: Active support from Synplicity

ESG Seminar Series

http://embedded.cse.iitd.ernet.in

Slide 26/55
Available Place and Route Tools
Xilinx ISE:
Advantages: Vendor provided Place and Route tool, there is no
other choice
Disadvantages: No point of comparison
Vendor: Xilinx
Status: Active support from Xilinx

ESG Seminar Series

http://embedded.cse.iitd.ernet.in

Slide 27/55
Synthesis With Synplify
add_file -vhdl -lib work accu.vhd
# Contraints and Options!
impl -add syn #implementation: "syn"
# Device Options
set_option -technology VIRTEX2;
set_option -part XC2V8000
set_option -package FF1517;
set_option -speed_grade -5
# Compilation/Mapping Options
set_option -top_module "accu";
set_option -default_enum_encoding onehot
set_option -resource_sharing 0;
set_option -symbolic_fsm_compiler 0

ESG Seminar Series

http://embedded.cse.iitd.ernet.in

Slide 28/55
Synthesis With Synplify
# Mapping Options
set_option -frequency 500.000;
set_option -disable_io_insertion 0
set_option -pipe 0;
set_option -modular 0;
set_option -retiming 0
# Output Options
set_option -write_verilog 0;
set_option -write_vhdl 1
set_option -write_apr_constraint 0 # Whether to output constraints
project -result_file "./accu.edf";
impl -active "syn" # Results

(Source: LEON2 synthesis scripts)
ESG Seminar Series

http://embedded.cse.iitd.ernet.in

Slide 29/55
Post-synthesis Simulation
Modelsim can be used
UNISIM libraries are needed and available with Modelsim
Vendor specific modules available from vendor tool or synthesis tool
distributions

ESG Seminar Series

http://embedded.cse.iitd.ernet.in

Slide 30/55
User Constraints File (UCF)
# Define Logical-to-Physical pin mapping
NET "LCLKA"
LOC = "AG18";
# <> for FPGA Express and XST; () for Synplify
NET "RA<18>"
LOC = "AG6";
# Define attributes
NET "RA0<*"
FAST;
# Define setup, hold and other timing constraints for
# peripheral devices
TIMEGRP RAM_ADDR_PADS
= "pads(RA<*>)";
TIMEGRP "RAM_PADS_ADDR" OFFSET = IN 5.0ns BEFORE "LCLKA";
TIMEGRP "RAM_PADS_ADDR" OFFSET = OUT 7.0ns AFTER "LCLKA";
NOTE: TIMESPEC syntax is more intuitive
(Source: ADM-XRC2 UCF files)
ESG Seminar Series

http://embedded.cse.iitd.ernet.in

Slide 31/55
Place and Route with Xilinx ISE
# Convert to Xilinx Database Format
ngdbuild -nt on -dd work -uc accu.ucf -p XC2V3000-5-FF1152
accu.ngd
# Map to target device
map -pr b -o accu_map.ncd accu.ngd accu.pcf
# Do Place and Route
par -w accu_map.ncd accu.ncd accu.pcf
# Timing Analysis
trce -e 3 -o accu.twr accu.ncd accu.pcf
# Final Bitfile Generation
bitgen -w -g drivedone:yes accu.ncd accu.bit

ESG Seminar Series

http://embedded.cse.iitd.ernet.in

Slide 32/55
Other Pecularities
Take care with array indices (<>, () or [])
If you are using coregen generated components, you should select the
correct synthesis tools
Automatic inferring of devices and retiming optimization makes
synthesis very time consuming
Simulation with device modules such as Virtex ChipStartup will take
very long
RAM models are available from vendors which you can directly use

ESG Seminar Series

http://embedded.cse.iitd.ernet.in

Slide 33/55
Outline
Overview
VHDL Coding Styles
FPGA Synthesis, Place and Route
Demo of FPGA Synthesis, Place and Route
ASIC Synthesis, Place and Route
Demo of ASIC Synthesis, Place and Route
Conclusions and Further Reading

ESG Seminar Series

http://embedded.cse.iitd.ernet.in

Slide 34/55
FPGA Synthesis, P&R Demo
Vanilla synthesis, place and route
Using the on-chip BlockRAMs
Using on-chip Digital Clock Managers (DCM)
Using clock-buffers
Interfacing to an external RAM
Specifying voltage level for output pins
UCF Ref: http://toolbox.xilinx.com/docsan/xilinx5/pdf/docs/cgd/cgd.pdf

ESG Seminar Series

http://embedded.cse.iitd.ernet.in

Slide 35/55
Outline
Overview
VHDL Coding Styles
FPGA Synthesis, Place and Route
Demo of FPGA Synthesis, Place and Route
ASIC Synthesis, Place and Route
Demo of ASIC Synthesis, Place and Route
Conclusions and Further Reading

ESG Seminar Series

http://embedded.cse.iitd.ernet.in

Slide 36/55
Complications Over FPGA Synthesis, P&R
Massive amounts of hardware flexibility leads to complications and
advantages
Deciding parameters such as row utilization, chip aspect ratio etc.
requires insight and experience
Various stages of the flow are inter-dependent
Difficult to estimate the effects of various stages of flow early on

ESG Seminar Series

http://embedded.cse.iitd.ernet.in

Slide 37/55
Typical Flow

Floorplan and
Power−planning

VHDL Model

User Options

ASIC Synthesizer
Libraries
Post−synthesis
Simulation

Yes
Static−timing
Analysis

No

OK?
Yes

ESG Seminar Series

Macro−cell
Placement

Std−cell
Placement

Generate clock−tree
Insert filler cells

OK?
Timing Analysis
and refinement

No

Timing Analysis
and refinement

(VHDL to Gate Level Netlist)

Timing Analysis
and refinement

Modify VHDL Model

User Options

Libraries

http://embedded.cse.iitd.ernet.in

Connect power rings
Global and detailed
Routing

Extract RC
Verify connectivity

Output GDSII

Slide 38/55
Available Synthesis Tools
Synopsys Design Compiler:
Advantages: Most heavily used (80% market share)
Disadvantages: Grave problem with aggregates
Vendor: Synopsys
Status: Active support
Cadence BuildGates:
Advantages: Good scripting capabilities, integrates well with
back-end Cadence tools, handles aggregates well, cheap
Disadvantages: Low-end solution, not very established
Vendor: Cadence
Status: Being replace by Cadence RTL Compiler

ESG Seminar Series

http://embedded.cse.iitd.ernet.in

Slide 39/55
Available Synthesis Tools (contd...)
Leonardo Spectrum:
Advantages: Similar interface for FPGA and ASIC synthesis
Disadvantages: Not established
Vendor: Mentor Graphics
Status: Being phased out
Cadence RTL Compiler Ultra:
Advantages: Well received in community, very fast, integrates well
with back-end Cadence tools, cheap
Disadvantages: New solution
Vendor: Cadence
Status: Active support

ESG Seminar Series

http://embedded.cse.iitd.ernet.in

Slide 40/55
Available Synthesis Tools (contd...)
Precision RTL:
Advantages: Similar interface for FPGA and ASIC synthesis
Disadvantages: ??
Vendor: Mentor Graphics
Status: Active support

ESG Seminar Series

http://embedded.cse.iitd.ernet.in

Slide 41/55
Available Place and Route Tools
Synopsys Apollo:
Advantages: Integrates well with front-end Synopsys tools
Disadvantages: Not established
Vendor: Synopsys
Status: Active support
Cadence Silicon Ensemble Family (Qplace, Wroute and Groute):
Advantages: Very established set of tools, provide full control to the
user
Disadvantages: Slow, based on very old technology
Vendor: Cadence
Status: Active support

ESG Seminar Series

http://embedded.cse.iitd.ernet.in

Slide 42/55
Available Place and Route Tools
Cadence SoC Encounter Family (Nanoroute, Amoeba):
Advantages: Next generation tools, run on GNU/Linux, very fast,
allow hierarchical design flow without creation of explicit black-box
models
Disadvantages: Difficult to control at finer level
Vendor: Cadence
Status: Active support
Mentro Graphics ICStation:
Advantages: ??
Disadvantages: ??
Vendor: Mentor Graphics
Status: Active support

ESG Seminar Series

http://embedded.cse.iitd.ernet.in

Slide 43/55
Other tools
Tool Name

Functionality

Qplace

Placer (Cadence)

Groute

Global router (Cadence)

Wroute

Local router (Cadence)

Nanoroute

Global and local router (Cadence)

CTGen

Clock-tree generator which may be used for reset (Cadence)

Fire & Ice

Parasitic extractor (Cadence)

HyperExtract

Parasitic extractor (Cadence)

Pearl

Timing analyzer (Cadence)

Primetime

Timing analyzer (Synopsys)

Primepower

Power analyzer (Synopsys)

CeltIC

IR drop analyzer (Cadence)

ESG Seminar Series

http://embedded.cse.iitd.ernet.in

Slide 44/55
Flat Synthesis Using Design-Compiler
Specify library search path and libraries
Set global constraints (clock period)
Set operating conditions and wire load model
Write output netlist, constraints and reports
(NOTE: Full synthesis script is in ∼help/syntut in philips lab.)

ESG Seminar Series

http://embedded.cse.iitd.ernet.in

Slide 45/55
Clock-tree Specification
AutoCTSRootPin
NoGating
MaxDelay
MinDelay
MaxSkew
MaxDepth
Buffer
End

ESG Seminar Series

clk
NO
0.4ns
0.1ns
20ps
20
CLKBD2 CLKBD4 CLKBD8 CLKBD12 CLKBD16 CLKBD20 CLKB

http://embedded.cse.iitd.ernet.in

Slide 46/55
Constraints File

set sdc_version 1.4
current_design accu
create_clock [get_ports {clk}] -name clk -period 1.0 -waveform {0 0
set_false_path -from [get_ports {resetn}]

ESG Seminar Series

http://embedded.cse.iitd.ernet.in

Slide 47/55
I/O Position File
Version: 2
Pin: clk N
Pin: resetn N
Pin: i[9] E
Pin: i[8] E
Pin: o[9] W
Pin: o[8] W

ESG Seminar Series

http://embedded.cse.iitd.ernet.in

Slide 48/55
Configuration File
Specify timing and LEF libraries
Specify timing constraints file
Speficy power and ground lines
Specify core utilization, chip area and/or aspect ratio
Specify chip orientation
(NOTE: Full configuration file is in ∼help/syntut in philips lab.)

ESG Seminar Series

http://embedded.cse.iitd.ernet.in

Slide 49/55
Flat P&R Using SoC Encounter
Load configuration file, pin placements, Floorplan the chip
Add power-rings and stripes
Place cells using either the timing driven or power driven mode
Perform timing optimization, timing violation fixing and congestion
optimization
Generate clock-tree
Insert filler cells
Connect power rings to power pins of cells
Route clock-nets
Perform global and detailed routing, extract RC
Verify connectivity, geometry and output results
(NOTE: Full P&R script is in ∼help/syntut in philips lab.)
ESG Seminar Series

http://embedded.cse.iitd.ernet.in

Slide 50/55
Hierarchical Flow
The following steps are added to the existing flow:
Specify the lower level modules as bloack-boxes in design-compiler
Generate TLF and LEF models for macros (black-boxes)
Place macros immediately after floorplanning, if multiple choices are
generated manual selection must be performed later on
Freeze the position of macros, so that amoeba doesn’t displace them
(NOTE: Full P&R script is in ∼help/syntut in philips lab.)

ESG Seminar Series

http://embedded.cse.iitd.ernet.in

Slide 51/55
Outline
Overview
VHDL Coding Styles
FPGA Synthesis, Place and Route
Demo of FPGA Synthesis, Place and Route
ASIC Synthesis, Place and Route
Demo of ASIC Synthesis, Place and Route
Conclusions and Further Reading

ESG Seminar Series

http://embedded.cse.iitd.ernet.in

Slide 52/55
Outline
Overview
VHDL Coding Styles
FPGA Synthesis, Place and Route
Demo of FPGA Synthesis, Place and Route
ASIC Synthesis, Place and Route
Demo of ASIC Synthesis, Place and Route
Conclusions and Further Reading

ESG Seminar Series

http://embedded.cse.iitd.ernet.in

Slide 53/55
Conclusions and Further Reading
When writing VHDL keep the target hardware in mind
Optimization is good but not mandatory, keep the tradeoff between
complexity of implementation and efficiency in mind
Thorough simulation now will save you a lot of hassle later on
FPGA implementation ends up taking more time than synthesis and
simulation
Tools typically change in three years, knowing idiosyncrasies of tools
helps but is not permanent knowledge
Further Reading:
VHDL Coding Styles at http://www.gaisler.com/doc/vhdl2proc.pdf
Product manuals: Cadence SoC Encounter User Guide, Synopsys
Design Compiler User Guide etc.
Crete Cadence Website http://crete.cadence.com
ESG Seminar Series

http://embedded.cse.iitd.ernet.in

Slide 54/55
Thank You

Thank You

ESG Seminar Series

http://embedded.cse.iitd.ernet.in

Slide 55/55

Weitere ähnliche Inhalte

Was ist angesagt?

Fpga 06-data-types-system-tasks-compiler-directives
Fpga 06-data-types-system-tasks-compiler-directivesFpga 06-data-types-system-tasks-compiler-directives
Fpga 06-data-types-system-tasks-compiler-directivesMalik Tauqir Hasan
 
Verilog Tutorial - Verilog HDL Tutorial with Examples
Verilog Tutorial - Verilog HDL Tutorial with ExamplesVerilog Tutorial - Verilog HDL Tutorial with Examples
Verilog Tutorial - Verilog HDL Tutorial with ExamplesE2MATRIX
 
Verilog Lecture5 hust 2014
Verilog Lecture5 hust 2014Verilog Lecture5 hust 2014
Verilog Lecture5 hust 2014Béo Tú
 
Delays in verilog
Delays in verilogDelays in verilog
Delays in verilogJITU MISTRY
 
Unit 5 quesn b ans5
Unit 5 quesn b ans5Unit 5 quesn b ans5
Unit 5 quesn b ans5Sowri Rajan
 
Part II: LLVM Intermediate Representation
Part II: LLVM Intermediate RepresentationPart II: LLVM Intermediate Representation
Part II: LLVM Intermediate RepresentationWei-Ren Chen
 
Verilog Lecture2 thhts
Verilog Lecture2 thhtsVerilog Lecture2 thhts
Verilog Lecture2 thhtsBéo Tú
 
An Introductory course on Verilog HDL-Verilog hdl ppr
An Introductory course on Verilog HDL-Verilog hdl pprAn Introductory course on Verilog HDL-Verilog hdl ppr
An Introductory course on Verilog HDL-Verilog hdl pprPrabhavathi P
 
Day2 Verilog HDL Basic
Day2 Verilog HDL BasicDay2 Verilog HDL Basic
Day2 Verilog HDL BasicRon Liu
 
Lecture 2 verilog
Lecture 2   verilogLecture 2   verilog
Lecture 2 verilogvenravi10
 
Verilog presentation final
Verilog presentation finalVerilog presentation final
Verilog presentation finalAnkur Gupta
 
Verilog tutorial
Verilog tutorialVerilog tutorial
Verilog tutorialraju reddy
 
Hardware Description Language
Hardware Description Language Hardware Description Language
Hardware Description Language Prachi Pandey
 
System Verilog 2009 & 2012 enhancements
System Verilog 2009 & 2012 enhancementsSystem Verilog 2009 & 2012 enhancements
System Verilog 2009 & 2012 enhancementsSubash John
 

Was ist angesagt? (20)

Fpga 06-data-types-system-tasks-compiler-directives
Fpga 06-data-types-system-tasks-compiler-directivesFpga 06-data-types-system-tasks-compiler-directives
Fpga 06-data-types-system-tasks-compiler-directives
 
Verilog tutorial
Verilog tutorialVerilog tutorial
Verilog tutorial
 
Verilog Tutorial - Verilog HDL Tutorial with Examples
Verilog Tutorial - Verilog HDL Tutorial with ExamplesVerilog Tutorial - Verilog HDL Tutorial with Examples
Verilog Tutorial - Verilog HDL Tutorial with Examples
 
Verilog Lecture5 hust 2014
Verilog Lecture5 hust 2014Verilog Lecture5 hust 2014
Verilog Lecture5 hust 2014
 
Delays in verilog
Delays in verilogDelays in verilog
Delays in verilog
 
Unit 5 quesn b ans5
Unit 5 quesn b ans5Unit 5 quesn b ans5
Unit 5 quesn b ans5
 
Part II: LLVM Intermediate Representation
Part II: LLVM Intermediate RepresentationPart II: LLVM Intermediate Representation
Part II: LLVM Intermediate Representation
 
Verilog Lecture2 thhts
Verilog Lecture2 thhtsVerilog Lecture2 thhts
Verilog Lecture2 thhts
 
Verilog HDL- 2
Verilog HDL- 2Verilog HDL- 2
Verilog HDL- 2
 
An Introductory course on Verilog HDL-Verilog hdl ppr
An Introductory course on Verilog HDL-Verilog hdl pprAn Introductory course on Verilog HDL-Verilog hdl ppr
An Introductory course on Verilog HDL-Verilog hdl ppr
 
Day2 Verilog HDL Basic
Day2 Verilog HDL BasicDay2 Verilog HDL Basic
Day2 Verilog HDL Basic
 
Lecture 2 verilog
Lecture 2   verilogLecture 2   verilog
Lecture 2 verilog
 
Verilog presentation final
Verilog presentation finalVerilog presentation final
Verilog presentation final
 
Verilog tutorial
Verilog tutorialVerilog tutorial
Verilog tutorial
 
First session quiz
First session quizFirst session quiz
First session quiz
 
Verilog tutorial
Verilog tutorialVerilog tutorial
Verilog tutorial
 
Hardware Description Language
Hardware Description Language Hardware Description Language
Hardware Description Language
 
GCC
GCCGCC
GCC
 
Embedded c
Embedded cEmbedded c
Embedded c
 
System Verilog 2009 & 2012 enhancements
System Verilog 2009 & 2012 enhancementsSystem Verilog 2009 & 2012 enhancements
System Verilog 2009 & 2012 enhancements
 

Andere mochten auch

Fundamentals of FPGA
Fundamentals of FPGAFundamentals of FPGA
Fundamentals of FPGAvelamakuri
 
Synthesis & FPGA Implementation of UART IP Soft Core
Synthesis & FPGA Implementation of UART IP Soft CoreSynthesis & FPGA Implementation of UART IP Soft Core
Synthesis & FPGA Implementation of UART IP Soft Coreijsrd.com
 
Introduction to fpga synthesis tools
Introduction to fpga synthesis toolsIntroduction to fpga synthesis tools
Introduction to fpga synthesis toolsHossam Hassan
 
Ultra Thin Body SOI FETs
Ultra Thin Body SOI FETsUltra Thin Body SOI FETs
Ultra Thin Body SOI FETssindhu reddy
 
FPGA Architecture Presentation
FPGA Architecture PresentationFPGA Architecture Presentation
FPGA Architecture Presentationomutukuda
 
Design Thinking and Innovation Course - Day 4 - Synthesis
Design Thinking and Innovation Course - Day 4 - SynthesisDesign Thinking and Innovation Course - Day 4 - Synthesis
Design Thinking and Innovation Course - Day 4 - SynthesisIngo Rauth
 
Connect your Android to the real world with Bluetooth Low Energy
Connect your Android to the real world with Bluetooth Low EnergyConnect your Android to the real world with Bluetooth Low Energy
Connect your Android to the real world with Bluetooth Low EnergyGabor Paller
 
FPGAs : An Overview
FPGAs : An OverviewFPGAs : An Overview
FPGAs : An OverviewSanjiv Malik
 
Introduction to FPGA, VHDL
Introduction to FPGA, VHDL  Introduction to FPGA, VHDL
Introduction to FPGA, VHDL Amr Rashed
 
Floating point ALU using VHDL implemented on FPGA
Floating point ALU using VHDL implemented on FPGAFloating point ALU using VHDL implemented on FPGA
Floating point ALU using VHDL implemented on FPGAAzhar Syed
 

Andere mochten auch (14)

What is FPGA?
What is FPGA?What is FPGA?
What is FPGA?
 
FPGA
FPGAFPGA
FPGA
 
Fundamentals of FPGA
Fundamentals of FPGAFundamentals of FPGA
Fundamentals of FPGA
 
Synthesis & FPGA Implementation of UART IP Soft Core
Synthesis & FPGA Implementation of UART IP Soft CoreSynthesis & FPGA Implementation of UART IP Soft Core
Synthesis & FPGA Implementation of UART IP Soft Core
 
Introduction to fpga synthesis tools
Introduction to fpga synthesis toolsIntroduction to fpga synthesis tools
Introduction to fpga synthesis tools
 
Ultra Thin Body SOI FETs
Ultra Thin Body SOI FETsUltra Thin Body SOI FETs
Ultra Thin Body SOI FETs
 
FPGA Architecture Presentation
FPGA Architecture PresentationFPGA Architecture Presentation
FPGA Architecture Presentation
 
Design Thinking and Innovation Course - Day 4 - Synthesis
Design Thinking and Innovation Course - Day 4 - SynthesisDesign Thinking and Innovation Course - Day 4 - Synthesis
Design Thinking and Innovation Course - Day 4 - Synthesis
 
Connect your Android to the real world with Bluetooth Low Energy
Connect your Android to the real world with Bluetooth Low EnergyConnect your Android to the real world with Bluetooth Low Energy
Connect your Android to the real world with Bluetooth Low Energy
 
FPGAs : An Overview
FPGAs : An OverviewFPGAs : An Overview
FPGAs : An Overview
 
Introduction to FPGA, VHDL
Introduction to FPGA, VHDL  Introduction to FPGA, VHDL
Introduction to FPGA, VHDL
 
Floating point ALU using VHDL implemented on FPGA
Floating point ALU using VHDL implemented on FPGAFloating point ALU using VHDL implemented on FPGA
Floating point ALU using VHDL implemented on FPGA
 
Programs of VHDL
Programs of VHDLPrograms of VHDL
Programs of VHDL
 
FPGA Introduction
FPGA IntroductionFPGA Introduction
FPGA Introduction
 

Ähnlich wie Syntutic

20081114 Friday Food iLabt Bart Joris
20081114 Friday Food iLabt Bart Joris20081114 Friday Food iLabt Bart Joris
20081114 Friday Food iLabt Bart Jorisimec.archive
 
Speeding up Programs with OpenACC in GCC
Speeding up Programs with OpenACC in GCCSpeeding up Programs with OpenACC in GCC
Speeding up Programs with OpenACC in GCCinside-BigData.com
 
HKG15-300: Art's Quick Compiler: An unofficial overview
HKG15-300: Art's Quick Compiler: An unofficial overviewHKG15-300: Art's Quick Compiler: An unofficial overview
HKG15-300: Art's Quick Compiler: An unofficial overviewLinaro
 
IRJET- A Review- FPGA based Architectures for Image Capturing Consequently Pr...
IRJET- A Review- FPGA based Architectures for Image Capturing Consequently Pr...IRJET- A Review- FPGA based Architectures for Image Capturing Consequently Pr...
IRJET- A Review- FPGA based Architectures for Image Capturing Consequently Pr...IRJET Journal
 
Prepare a Verilog HDL code for the following register Positive Edge.pdf
Prepare a Verilog HDL code for the following register  Positive Edge.pdfPrepare a Verilog HDL code for the following register  Positive Edge.pdf
Prepare a Verilog HDL code for the following register Positive Edge.pdfezonesolutions
 
Performance Verification for ESL Design Methodology from AADL Models
Performance Verification for ESL Design Methodology from AADL ModelsPerformance Verification for ESL Design Methodology from AADL Models
Performance Verification for ESL Design Methodology from AADL ModelsSpace Codesign
 
Unit 5_Realizing Applications in FPGA.pdf
Unit 5_Realizing Applications in FPGA.pdfUnit 5_Realizing Applications in FPGA.pdf
Unit 5_Realizing Applications in FPGA.pdfkanyaakiran
 
Preparing to program Aurora at Exascale - Early experiences and future direct...
Preparing to program Aurora at Exascale - Early experiences and future direct...Preparing to program Aurora at Exascale - Early experiences and future direct...
Preparing to program Aurora at Exascale - Early experiences and future direct...inside-BigData.com
 
Project report of 2016 Trainee_final
Project report of 2016 Trainee_finalProject report of 2016 Trainee_final
Project report of 2016 Trainee_finalAkash Chowdhury
 
Virtual platform
Virtual platformVirtual platform
Virtual platformsean chen
 
The Principle Of Ultrasound Imaging System
The Principle Of Ultrasound Imaging SystemThe Principle Of Ultrasound Imaging System
The Principle Of Ultrasound Imaging SystemMelissa Luster
 
Fast Insights to Optimized Vectorization and Memory Using Cache-aware Rooflin...
Fast Insights to Optimized Vectorization and Memory Using Cache-aware Rooflin...Fast Insights to Optimized Vectorization and Memory Using Cache-aware Rooflin...
Fast Insights to Optimized Vectorization and Memory Using Cache-aware Rooflin...Intel® Software
 
Track c-High speed transaction-based hw-sw coverification -eve
Track c-High speed transaction-based hw-sw coverification -eveTrack c-High speed transaction-based hw-sw coverification -eve
Track c-High speed transaction-based hw-sw coverification -evechiportal
 

Ähnlich wie Syntutic (20)

20081114 Friday Food iLabt Bart Joris
20081114 Friday Food iLabt Bart Joris20081114 Friday Food iLabt Bart Joris
20081114 Friday Food iLabt Bart Joris
 
Speeding up Programs with OpenACC in GCC
Speeding up Programs with OpenACC in GCCSpeeding up Programs with OpenACC in GCC
Speeding up Programs with OpenACC in GCC
 
HKG15-300: Art's Quick Compiler: An unofficial overview
HKG15-300: Art's Quick Compiler: An unofficial overviewHKG15-300: Art's Quick Compiler: An unofficial overview
HKG15-300: Art's Quick Compiler: An unofficial overview
 
IRJET- A Review- FPGA based Architectures for Image Capturing Consequently Pr...
IRJET- A Review- FPGA based Architectures for Image Capturing Consequently Pr...IRJET- A Review- FPGA based Architectures for Image Capturing Consequently Pr...
IRJET- A Review- FPGA based Architectures for Image Capturing Consequently Pr...
 
Prepare a Verilog HDL code for the following register Positive Edge.pdf
Prepare a Verilog HDL code for the following register  Positive Edge.pdfPrepare a Verilog HDL code for the following register  Positive Edge.pdf
Prepare a Verilog HDL code for the following register Positive Edge.pdf
 
VLSI
VLSIVLSI
VLSI
 
Performance Verification for ESL Design Methodology from AADL Models
Performance Verification for ESL Design Methodology from AADL ModelsPerformance Verification for ESL Design Methodology from AADL Models
Performance Verification for ESL Design Methodology from AADL Models
 
Introduction to EDA Tools
Introduction to EDA ToolsIntroduction to EDA Tools
Introduction to EDA Tools
 
Unit 5_Realizing Applications in FPGA.pdf
Unit 5_Realizing Applications in FPGA.pdfUnit 5_Realizing Applications in FPGA.pdf
Unit 5_Realizing Applications in FPGA.pdf
 
Preparing to program Aurora at Exascale - Early experiences and future direct...
Preparing to program Aurora at Exascale - Early experiences and future direct...Preparing to program Aurora at Exascale - Early experiences and future direct...
Preparing to program Aurora at Exascale - Early experiences and future direct...
 
Project report of 2016 Trainee_final
Project report of 2016 Trainee_finalProject report of 2016 Trainee_final
Project report of 2016 Trainee_final
 
0507036
05070360507036
0507036
 
Utft
UtftUtft
Utft
 
VLSI
VLSIVLSI
VLSI
 
Virtual platform
Virtual platformVirtual platform
Virtual platform
 
List of exp
List of expList of exp
List of exp
 
The Principle Of Ultrasound Imaging System
The Principle Of Ultrasound Imaging SystemThe Principle Of Ultrasound Imaging System
The Principle Of Ultrasound Imaging System
 
Fast Insights to Optimized Vectorization and Memory Using Cache-aware Rooflin...
Fast Insights to Optimized Vectorization and Memory Using Cache-aware Rooflin...Fast Insights to Optimized Vectorization and Memory Using Cache-aware Rooflin...
Fast Insights to Optimized Vectorization and Memory Using Cache-aware Rooflin...
 
Joel Falcou, Boost.SIMD
Joel Falcou, Boost.SIMDJoel Falcou, Boost.SIMD
Joel Falcou, Boost.SIMD
 
Track c-High speed transaction-based hw-sw coverification -eve
Track c-High speed transaction-based hw-sw coverification -eveTrack c-High speed transaction-based hw-sw coverification -eve
Track c-High speed transaction-based hw-sw coverification -eve
 

Mehr von Rohit Chintu

Electronics for you projects and ideas 2000 (malestrom)
Electronics for you projects and ideas 2000 (malestrom)Electronics for you projects and ideas 2000 (malestrom)
Electronics for you projects and ideas 2000 (malestrom)Rohit Chintu
 
Plugin anrit sitemasterseri30416
Plugin anrit sitemasterseri30416Plugin anrit sitemasterseri30416
Plugin anrit sitemasterseri30416Rohit Chintu
 
Wire routing tools
Wire routing toolsWire routing tools
Wire routing toolsRohit Chintu
 
List of tongue twisters in english
List of tongue twisters in englishList of tongue twisters in english
List of tongue twisters in englishRohit Chintu
 
English tongue twisters
English tongue twisters English tongue twisters
English tongue twisters Rohit Chintu
 
Tongue twisters in english
Tongue twisters in englishTongue twisters in english
Tongue twisters in englishRohit Chintu
 

Mehr von Rohit Chintu (16)

Qtp syllabus
Qtp syllabus Qtp syllabus
Qtp syllabus
 
Testing syllabus
Testing syllabusTesting syllabus
Testing syllabus
 
Mpi godse
Mpi godseMpi godse
Mpi godse
 
Electronics for you projects and ideas 2000 (malestrom)
Electronics for you projects and ideas 2000 (malestrom)Electronics for you projects and ideas 2000 (malestrom)
Electronics for you projects and ideas 2000 (malestrom)
 
Windbot
WindbotWindbot
Windbot
 
Data logger
Data loggerData logger
Data logger
 
Plugin anrit sitemasterseri30416
Plugin anrit sitemasterseri30416Plugin anrit sitemasterseri30416
Plugin anrit sitemasterseri30416
 
Synthese
SyntheseSynthese
Synthese
 
Vhdl design flow
Vhdl design flowVhdl design flow
Vhdl design flow
 
Ground sailor
Ground sailorGround sailor
Ground sailor
 
Wire routing tools
Wire routing toolsWire routing tools
Wire routing tools
 
List of tongue twisters in english
List of tongue twisters in englishList of tongue twisters in english
List of tongue twisters in english
 
English tongue twisters
English tongue twisters English tongue twisters
English tongue twisters
 
Tongue twisters in english
Tongue twisters in englishTongue twisters in english
Tongue twisters in english
 
Tongue Twister
Tongue TwisterTongue Twister
Tongue Twister
 
IRIS Scaner
 IRIS Scaner IRIS Scaner
IRIS Scaner
 

Kürzlich hochgeladen

Grade Three -ELLNA-REVIEWER-ENGLISH.pptx
Grade Three -ELLNA-REVIEWER-ENGLISH.pptxGrade Three -ELLNA-REVIEWER-ENGLISH.pptx
Grade Three -ELLNA-REVIEWER-ENGLISH.pptxkarenfajardo43
 
Q-Factor HISPOL Quiz-6th April 2024, Quiz Club NITW
Q-Factor HISPOL Quiz-6th April 2024, Quiz Club NITWQ-Factor HISPOL Quiz-6th April 2024, Quiz Club NITW
Q-Factor HISPOL Quiz-6th April 2024, Quiz Club NITWQuiz Club NITW
 
4.11.24 Mass Incarceration and the New Jim Crow.pptx
4.11.24 Mass Incarceration and the New Jim Crow.pptx4.11.24 Mass Incarceration and the New Jim Crow.pptx
4.11.24 Mass Incarceration and the New Jim Crow.pptxmary850239
 
How to Manage Buy 3 Get 1 Free in Odoo 17
How to Manage Buy 3 Get 1 Free in Odoo 17How to Manage Buy 3 Get 1 Free in Odoo 17
How to Manage Buy 3 Get 1 Free in Odoo 17Celine George
 
ICS 2208 Lecture Slide Notes for Topic 6
ICS 2208 Lecture Slide Notes for Topic 6ICS 2208 Lecture Slide Notes for Topic 6
ICS 2208 Lecture Slide Notes for Topic 6Vanessa Camilleri
 
Scientific Writing :Research Discourse
Scientific  Writing :Research  DiscourseScientific  Writing :Research  Discourse
Scientific Writing :Research DiscourseAnita GoswamiGiri
 
Concurrency Control in Database Management system
Concurrency Control in Database Management systemConcurrency Control in Database Management system
Concurrency Control in Database Management systemChristalin Nelson
 
ClimART Action | eTwinning Project
ClimART Action    |    eTwinning ProjectClimART Action    |    eTwinning Project
ClimART Action | eTwinning Projectjordimapav
 
ESP 4-EDITED.pdfmmcncncncmcmmnmnmncnmncmnnjvnnv
ESP 4-EDITED.pdfmmcncncncmcmmnmnmncnmncmnnjvnnvESP 4-EDITED.pdfmmcncncncmcmmnmnmncnmncmnnjvnnv
ESP 4-EDITED.pdfmmcncncncmcmmnmnmncnmncmnnjvnnvRicaMaeCastro1
 
Textual Evidence in Reading and Writing of SHS
Textual Evidence in Reading and Writing of SHSTextual Evidence in Reading and Writing of SHS
Textual Evidence in Reading and Writing of SHSMae Pangan
 
ICS2208 Lecture6 Notes for SL spaces.pdf
ICS2208 Lecture6 Notes for SL spaces.pdfICS2208 Lecture6 Notes for SL spaces.pdf
ICS2208 Lecture6 Notes for SL spaces.pdfVanessa Camilleri
 
DIFFERENT BASKETRY IN THE PHILIPPINES PPT.pptx
DIFFERENT BASKETRY IN THE PHILIPPINES PPT.pptxDIFFERENT BASKETRY IN THE PHILIPPINES PPT.pptx
DIFFERENT BASKETRY IN THE PHILIPPINES PPT.pptxMichelleTuguinay1
 
31 ĐỀ THI THỬ VÀO LỚP 10 - TIẾNG ANH - FORM MỚI 2025 - 40 CÂU HỎI - BÙI VĂN V...
31 ĐỀ THI THỬ VÀO LỚP 10 - TIẾNG ANH - FORM MỚI 2025 - 40 CÂU HỎI - BÙI VĂN V...31 ĐỀ THI THỬ VÀO LỚP 10 - TIẾNG ANH - FORM MỚI 2025 - 40 CÂU HỎI - BÙI VĂN V...
31 ĐỀ THI THỬ VÀO LỚP 10 - TIẾNG ANH - FORM MỚI 2025 - 40 CÂU HỎI - BÙI VĂN V...Nguyen Thanh Tu Collection
 
4.9.24 School Desegregation in Boston.pptx
4.9.24 School Desegregation in Boston.pptx4.9.24 School Desegregation in Boston.pptx
4.9.24 School Desegregation in Boston.pptxmary850239
 
Blowin' in the Wind of Caste_ Bob Dylan's Song as a Catalyst for Social Justi...
Blowin' in the Wind of Caste_ Bob Dylan's Song as a Catalyst for Social Justi...Blowin' in the Wind of Caste_ Bob Dylan's Song as a Catalyst for Social Justi...
Blowin' in the Wind of Caste_ Bob Dylan's Song as a Catalyst for Social Justi...DhatriParmar
 
Q4-PPT-Music9_Lesson-1-Romantic-Opera.pptx
Q4-PPT-Music9_Lesson-1-Romantic-Opera.pptxQ4-PPT-Music9_Lesson-1-Romantic-Opera.pptx
Q4-PPT-Music9_Lesson-1-Romantic-Opera.pptxlancelewisportillo
 
CHEST Proprioceptive neuromuscular facilitation.pptx
CHEST Proprioceptive neuromuscular facilitation.pptxCHEST Proprioceptive neuromuscular facilitation.pptx
CHEST Proprioceptive neuromuscular facilitation.pptxAneriPatwari
 
MS4 level being good citizen -imperative- (1) (1).pdf
MS4 level   being good citizen -imperative- (1) (1).pdfMS4 level   being good citizen -imperative- (1) (1).pdf
MS4 level being good citizen -imperative- (1) (1).pdfMr Bounab Samir
 

Kürzlich hochgeladen (20)

INCLUSIVE EDUCATION PRACTICES FOR TEACHERS AND TRAINERS.pptx
INCLUSIVE EDUCATION PRACTICES FOR TEACHERS AND TRAINERS.pptxINCLUSIVE EDUCATION PRACTICES FOR TEACHERS AND TRAINERS.pptx
INCLUSIVE EDUCATION PRACTICES FOR TEACHERS AND TRAINERS.pptx
 
Grade Three -ELLNA-REVIEWER-ENGLISH.pptx
Grade Three -ELLNA-REVIEWER-ENGLISH.pptxGrade Three -ELLNA-REVIEWER-ENGLISH.pptx
Grade Three -ELLNA-REVIEWER-ENGLISH.pptx
 
Q-Factor HISPOL Quiz-6th April 2024, Quiz Club NITW
Q-Factor HISPOL Quiz-6th April 2024, Quiz Club NITWQ-Factor HISPOL Quiz-6th April 2024, Quiz Club NITW
Q-Factor HISPOL Quiz-6th April 2024, Quiz Club NITW
 
4.11.24 Mass Incarceration and the New Jim Crow.pptx
4.11.24 Mass Incarceration and the New Jim Crow.pptx4.11.24 Mass Incarceration and the New Jim Crow.pptx
4.11.24 Mass Incarceration and the New Jim Crow.pptx
 
How to Manage Buy 3 Get 1 Free in Odoo 17
How to Manage Buy 3 Get 1 Free in Odoo 17How to Manage Buy 3 Get 1 Free in Odoo 17
How to Manage Buy 3 Get 1 Free in Odoo 17
 
ICS 2208 Lecture Slide Notes for Topic 6
ICS 2208 Lecture Slide Notes for Topic 6ICS 2208 Lecture Slide Notes for Topic 6
ICS 2208 Lecture Slide Notes for Topic 6
 
Scientific Writing :Research Discourse
Scientific  Writing :Research  DiscourseScientific  Writing :Research  Discourse
Scientific Writing :Research Discourse
 
Concurrency Control in Database Management system
Concurrency Control in Database Management systemConcurrency Control in Database Management system
Concurrency Control in Database Management system
 
ClimART Action | eTwinning Project
ClimART Action    |    eTwinning ProjectClimART Action    |    eTwinning Project
ClimART Action | eTwinning Project
 
ESP 4-EDITED.pdfmmcncncncmcmmnmnmncnmncmnnjvnnv
ESP 4-EDITED.pdfmmcncncncmcmmnmnmncnmncmnnjvnnvESP 4-EDITED.pdfmmcncncncmcmmnmnmncnmncmnnjvnnv
ESP 4-EDITED.pdfmmcncncncmcmmnmnmncnmncmnnjvnnv
 
Textual Evidence in Reading and Writing of SHS
Textual Evidence in Reading and Writing of SHSTextual Evidence in Reading and Writing of SHS
Textual Evidence in Reading and Writing of SHS
 
Faculty Profile prashantha K EEE dept Sri Sairam college of Engineering
Faculty Profile prashantha K EEE dept Sri Sairam college of EngineeringFaculty Profile prashantha K EEE dept Sri Sairam college of Engineering
Faculty Profile prashantha K EEE dept Sri Sairam college of Engineering
 
ICS2208 Lecture6 Notes for SL spaces.pdf
ICS2208 Lecture6 Notes for SL spaces.pdfICS2208 Lecture6 Notes for SL spaces.pdf
ICS2208 Lecture6 Notes for SL spaces.pdf
 
DIFFERENT BASKETRY IN THE PHILIPPINES PPT.pptx
DIFFERENT BASKETRY IN THE PHILIPPINES PPT.pptxDIFFERENT BASKETRY IN THE PHILIPPINES PPT.pptx
DIFFERENT BASKETRY IN THE PHILIPPINES PPT.pptx
 
31 ĐỀ THI THỬ VÀO LỚP 10 - TIẾNG ANH - FORM MỚI 2025 - 40 CÂU HỎI - BÙI VĂN V...
31 ĐỀ THI THỬ VÀO LỚP 10 - TIẾNG ANH - FORM MỚI 2025 - 40 CÂU HỎI - BÙI VĂN V...31 ĐỀ THI THỬ VÀO LỚP 10 - TIẾNG ANH - FORM MỚI 2025 - 40 CÂU HỎI - BÙI VĂN V...
31 ĐỀ THI THỬ VÀO LỚP 10 - TIẾNG ANH - FORM MỚI 2025 - 40 CÂU HỎI - BÙI VĂN V...
 
4.9.24 School Desegregation in Boston.pptx
4.9.24 School Desegregation in Boston.pptx4.9.24 School Desegregation in Boston.pptx
4.9.24 School Desegregation in Boston.pptx
 
Blowin' in the Wind of Caste_ Bob Dylan's Song as a Catalyst for Social Justi...
Blowin' in the Wind of Caste_ Bob Dylan's Song as a Catalyst for Social Justi...Blowin' in the Wind of Caste_ Bob Dylan's Song as a Catalyst for Social Justi...
Blowin' in the Wind of Caste_ Bob Dylan's Song as a Catalyst for Social Justi...
 
Q4-PPT-Music9_Lesson-1-Romantic-Opera.pptx
Q4-PPT-Music9_Lesson-1-Romantic-Opera.pptxQ4-PPT-Music9_Lesson-1-Romantic-Opera.pptx
Q4-PPT-Music9_Lesson-1-Romantic-Opera.pptx
 
CHEST Proprioceptive neuromuscular facilitation.pptx
CHEST Proprioceptive neuromuscular facilitation.pptxCHEST Proprioceptive neuromuscular facilitation.pptx
CHEST Proprioceptive neuromuscular facilitation.pptx
 
MS4 level being good citizen -imperative- (1) (1).pdf
MS4 level   being good citizen -imperative- (1) (1).pdfMS4 level   being good citizen -imperative- (1) (1).pdf
MS4 level being good citizen -imperative- (1) (1).pdf
 

Syntutic

  • 1. A Tutorial on VHDL Synthesis, Place and Route for FPGA and ASIC Technologies Anup Gangwar Embedded Systems Group, Department of Computer Science and Engineering, Indian Institute of Technology Delhi http://embedded.cse.iitd.ernet.in October 4, 2004 ESG Seminar Series http://embedded.cse.iitd.ernet.in Slide 1/55
  • 2. Outline Overview VHDL Coding Styles FPGA Synthesis, Place and Route Demo of FPGA Synthesis, Place and Route ASIC Synthesis, Place and Route Demo of ASIC Synthesis, Place and Route Conclusions and Further Reading ESG Seminar Series http://embedded.cse.iitd.ernet.in Slide 2/55
  • 3. Overview VHDL is not a programming language What you can expect to learn: Good VHDL coding styles Overview of available tools A typical design flow and scripting for automation Demos are planned but will only be shown if time permits All the scripts are in ∼help/syntut ESG Seminar Series http://embedded.cse.iitd.ernet.in Slide 3/55
  • 4. Outline Overview VHDL Coding Styles FPGA Synthesis, Place and Route Demo of FPGA Synthesis, Place and Route ASIC Synthesis, Place and Route Demo of ASIC Synthesis, Place and Route Conclusions and Further Reading ESG Seminar Series http://embedded.cse.iitd.ernet.in Slide 4/55
  • 5. The Two Process Model architecture rtl of accu is signal reg : std_logic_vector( 7 downto 0 ); begin o <= reg; process( clk, resetn, i ) begin if( resetn = ’0’ ) then reg <= (others => ’0’); elsif( clk’event and clk = ’1’ ) then reg <= reg + i; end if; end process; end rtl; ESG Seminar Series http://embedded.cse.iitd.ernet.in Slide 5/55
  • 6. The Two Process Model (contd...) ..... o <= reg; process( i, reg ) --Combinational begin tmp <= reg + i; end process; process( clk, resetn ) --Registers begin if( resetn = ’0’ ) then reg <= (others => ’0’); elsif( clk’event and clk = ’1’ ) then reg <= tmp; end if; end process; ..... ESG Seminar Series http://embedded.cse.iitd.ernet.in Slide 6/55
  • 7. The Two Process Model (contd...) Dataflow model is difficult to understand Sequential parts of the code are easily identified Easy to understand and maintain Does Not compromise efficiency Simplifies debugging ESG Seminar Series http://embedded.cse.iitd.ernet.in Slide 7/55
  • 8. Making Use of Records Records are analogous to structures in C Simplify port declarations Easier to add a new port to an entity ESG Seminar Series http://embedded.cse.iitd.ernet.in Slide 8/55
  • 9. Making Use of Records (contd...) Example: entity accu is port( clk resetn i o : : : : in std_logic; in std_logic; in std_logic_vector( 7 downto 0 ); out std_logic_vector( 7 downto 0 )); end accu; To add a new port all modules using accu wil need to be modified ESG Seminar Series http://embedded.cse.iitd.ernet.in Slide 9/55
  • 10. Making Use of Records (contd...) Package Declaration: ... type accu_in_type is record i : std_logic_vector(7 downto 0); end record; type accu_out_type is record o : std_logic_vector(7 downto 0); end record; ... ESG Seminar Series http://embedded.cse.iitd.ernet.in Slide 10/55
  • 11. Making Use of Records (contd...) Entity Declaration: entity accu is port( clk resetn i o : : : : in std_logic; in std_logic; in accu_in_type; out accu_out_type); end accu; Addition of new port does not change entity declaration ESG Seminar Series http://embedded.cse.iitd.ernet.in Slide 11/55
  • 12. Writing Parameterized VHDL entity accu is generic( width port( clk resetn i o end accu; ESG Seminar Series : integer := 8); : : : : in std_logic; in std_logic; in std_logic_vector( width downto 0 ); out std_logic_vector( width downto 0 )); http://embedded.cse.iitd.ernet.in Slide 12/55
  • 13. Writing Parameterized VHDL (contd...) ... ACCU: if (ACCUTYPE = ONE) generate ACCU_TYP_ONE: accu_one generic map( width => DATAWIDTH, port map( clk => clk, resetn => resetn, i => i, o => o); end generate ACCU_TYP_ONE; ... DATAWIDTH and ACCUTYPE are defined globally at one place making it easy to change configuration ESG Seminar Series http://embedded.cse.iitd.ernet.in Slide 13/55
  • 14. Under specified FSM and Inferred Latches Under specified FSM means that values will be held on wires which would lead to latches being inferred by the synthesis tool Problems with inferred latched: Verification tools will fail to match functionality Unnecessary logic will get generated The FSM might enter undefined state and get stuck i.e. incorrect functionality ESG Seminar Series http://embedded.cse.iitd.ernet.in Slide 14/55
  • 15. Under specified FSM and Inferred Latches Example: ... architecture rtl of dummy is type states is ( free, working ); signal curr_state, next_state : states; begin process( curr_state ) begin case curr_state is when free => if( inp = ’1’) then next_state <= working; end if; end case; end process; ... ESG Seminar Series http://embedded.cse.iitd.ernet.in Slide 15/55
  • 16. Under specified FSM (contd...) ... process( clk, resetn ) begin if( resetn = ’0’ ) then curr_state <= free; elsif( clk’event and clk = ’1’ ) then curr_state <= next_state; end if; end process; ... Latch inferred for next_state ESG Seminar Series http://embedded.cse.iitd.ernet.in Slide 16/55
  • 17. Under specified FSM (contd...) Example: ... architecture rtl of dummy is type states is ( free, working ); signal curr_state, next_state : states; begin process( curr_state, inp ) begin case curr_state is when free => if( inp = ’1’) then next_state <= working; end if; when others => next_state <= working; end case; end process; ESG Seminar Series http://embedded.cse.iitd.ernet.in Slide 17/55
  • 18. Under specified FSM (contd...) FSM is now fully specified Using case statements for FSM is better than if-else as muxes are generated in first case and priority hardware in second Priority hardware makes the circuit slow ESG Seminar Series http://embedded.cse.iitd.ernet.in Slide 18/55
  • 19. Synchronous and Asynchronous Resets Synchronous Reset Asynchronous Reset Large number of gates Less number of gates Reset pulse should be large enough for Only to cover setup and hold times clock to become active No metastability problems Mestability problems Slow Fast Consumes more power Consumes less power Small reset glitches are automatically May reset the circuit if glitch covers filtered setup and hold time No choice is in general better, depends on design Can convert asynchronous reset to synchronous and then use as asynchronous input to all flip-flops ESG Seminar Series http://embedded.cse.iitd.ernet.in Slide 19/55
  • 20. Attributes Attributes are similar to #PRAGMA directives for compilers These are dependent on the synthesis tool being used Example (for Synplify): module STARTUP_VIRTEX (CLK, GSR, GTS) /*synthesis syn_black_box */; input CLK /* synthesis syn_isclock = 1 */; input GSR, GTS; endmodule ... module OBUF (O, I) /* synthesis syn_black_box */; output O; input I; endmodule ESG Seminar Series http://embedded.cse.iitd.ernet.in Slide 20/55
  • 21. Utilizing Readily Available IP Xilinx Coregen Adders, multipliers etc. Build complex modules using hardware available in FPGA (multipliers) Synopsys DesignWare Adders, multipliers, ALUs etc. Simulation models are available Free IP from OpenCores.org Complex hardware cores from floating-point unit to processors Integration is complex with other larger cores Tradeoff between integration, validation and effort required to write the IP yourself ESG Seminar Series http://embedded.cse.iitd.ernet.in Slide 21/55
  • 22. VHDL Coding Styles: Summary Try to use the two process model Group your port signals in records Try to write parameterized code, this doesn’t sacrifice efficiency Fully specify your FSM Make intelligent choice about synchronous and asynchronous resets Make extensive use of parameterized and freely available IP ESG Seminar Series http://embedded.cse.iitd.ernet.in Slide 22/55
  • 23. Outline Overview VHDL Coding Styles FPGA Synthesis, Place and Route Demo of FPGA Synthesis, Place and Route ASIC Synthesis, Place and Route Demo of ASIC Synthesis, Place and Route Conclusions and Further Reading ESG Seminar Series http://embedded.cse.iitd.ernet.in Slide 23/55
  • 24. Typical Flow Modify VHDL Model VHDL Model FPGA Synthesizer (VHDL to Gate Level Netlist) User Options Post−synthesis Simulation No OK? Yes Vendor P&R Tools User Constraints (UCF) Output FPGA Configuration (bit) File ESG Seminar Series http://embedded.cse.iitd.ernet.in Slide 24/55
  • 25. Available Synthesis Tools FPGA Express: Advantages: None, Our first LEON synthesized only with it -:) Disadvantaegs: Buggy, slow, runs only on Windows Vendor: Synopsys Status: Synopsys has discontinued it, no longer bundled with Xilinx tools Xilinx Synthesis Tool (XST): Advantages: Bundled with Xilinx ISE, Can automatically infer Xilinx FPGA components, runs on UNIX (Solaris and GNU/Linux) Disadvantaegs: Still buggy, Only supports Xilinx devices Vendor: Xilinx Status: Active support from Xilinx ESG Seminar Series http://embedded.cse.iitd.ernet.in Slide 25/55
  • 26. Available Synthesis Tools (contd...) Leonardo Spectrum: Advantages: Supports large family of FPGA devices, Reliable, Can automatically infer FPGA components Disadvantages: Runs on Solaris, Replaced by Precision-RTL Vendor: Mentor Graphics Status: To be replaced soon Synplify: Advantages: Most trusted in industry, Support large family of FPGA devices, Can automatically infer FPGA components Disadvantages: Some optimizers are still buggy (FPGA Compiler) Vendor: Synplicity Status: Active support from Synplicity ESG Seminar Series http://embedded.cse.iitd.ernet.in Slide 26/55
  • 27. Available Place and Route Tools Xilinx ISE: Advantages: Vendor provided Place and Route tool, there is no other choice Disadvantages: No point of comparison Vendor: Xilinx Status: Active support from Xilinx ESG Seminar Series http://embedded.cse.iitd.ernet.in Slide 27/55
  • 28. Synthesis With Synplify add_file -vhdl -lib work accu.vhd # Contraints and Options! impl -add syn #implementation: "syn" # Device Options set_option -technology VIRTEX2; set_option -part XC2V8000 set_option -package FF1517; set_option -speed_grade -5 # Compilation/Mapping Options set_option -top_module "accu"; set_option -default_enum_encoding onehot set_option -resource_sharing 0; set_option -symbolic_fsm_compiler 0 ESG Seminar Series http://embedded.cse.iitd.ernet.in Slide 28/55
  • 29. Synthesis With Synplify # Mapping Options set_option -frequency 500.000; set_option -disable_io_insertion 0 set_option -pipe 0; set_option -modular 0; set_option -retiming 0 # Output Options set_option -write_verilog 0; set_option -write_vhdl 1 set_option -write_apr_constraint 0 # Whether to output constraints project -result_file "./accu.edf"; impl -active "syn" # Results (Source: LEON2 synthesis scripts) ESG Seminar Series http://embedded.cse.iitd.ernet.in Slide 29/55
  • 30. Post-synthesis Simulation Modelsim can be used UNISIM libraries are needed and available with Modelsim Vendor specific modules available from vendor tool or synthesis tool distributions ESG Seminar Series http://embedded.cse.iitd.ernet.in Slide 30/55
  • 31. User Constraints File (UCF) # Define Logical-to-Physical pin mapping NET "LCLKA" LOC = "AG18"; # <> for FPGA Express and XST; () for Synplify NET "RA<18>" LOC = "AG6"; # Define attributes NET "RA0<*" FAST; # Define setup, hold and other timing constraints for # peripheral devices TIMEGRP RAM_ADDR_PADS = "pads(RA<*>)"; TIMEGRP "RAM_PADS_ADDR" OFFSET = IN 5.0ns BEFORE "LCLKA"; TIMEGRP "RAM_PADS_ADDR" OFFSET = OUT 7.0ns AFTER "LCLKA"; NOTE: TIMESPEC syntax is more intuitive (Source: ADM-XRC2 UCF files) ESG Seminar Series http://embedded.cse.iitd.ernet.in Slide 31/55
  • 32. Place and Route with Xilinx ISE # Convert to Xilinx Database Format ngdbuild -nt on -dd work -uc accu.ucf -p XC2V3000-5-FF1152 accu.ngd # Map to target device map -pr b -o accu_map.ncd accu.ngd accu.pcf # Do Place and Route par -w accu_map.ncd accu.ncd accu.pcf # Timing Analysis trce -e 3 -o accu.twr accu.ncd accu.pcf # Final Bitfile Generation bitgen -w -g drivedone:yes accu.ncd accu.bit ESG Seminar Series http://embedded.cse.iitd.ernet.in Slide 32/55
  • 33. Other Pecularities Take care with array indices (<>, () or []) If you are using coregen generated components, you should select the correct synthesis tools Automatic inferring of devices and retiming optimization makes synthesis very time consuming Simulation with device modules such as Virtex ChipStartup will take very long RAM models are available from vendors which you can directly use ESG Seminar Series http://embedded.cse.iitd.ernet.in Slide 33/55
  • 34. Outline Overview VHDL Coding Styles FPGA Synthesis, Place and Route Demo of FPGA Synthesis, Place and Route ASIC Synthesis, Place and Route Demo of ASIC Synthesis, Place and Route Conclusions and Further Reading ESG Seminar Series http://embedded.cse.iitd.ernet.in Slide 34/55
  • 35. FPGA Synthesis, P&R Demo Vanilla synthesis, place and route Using the on-chip BlockRAMs Using on-chip Digital Clock Managers (DCM) Using clock-buffers Interfacing to an external RAM Specifying voltage level for output pins UCF Ref: http://toolbox.xilinx.com/docsan/xilinx5/pdf/docs/cgd/cgd.pdf ESG Seminar Series http://embedded.cse.iitd.ernet.in Slide 35/55
  • 36. Outline Overview VHDL Coding Styles FPGA Synthesis, Place and Route Demo of FPGA Synthesis, Place and Route ASIC Synthesis, Place and Route Demo of ASIC Synthesis, Place and Route Conclusions and Further Reading ESG Seminar Series http://embedded.cse.iitd.ernet.in Slide 36/55
  • 37. Complications Over FPGA Synthesis, P&R Massive amounts of hardware flexibility leads to complications and advantages Deciding parameters such as row utilization, chip aspect ratio etc. requires insight and experience Various stages of the flow are inter-dependent Difficult to estimate the effects of various stages of flow early on ESG Seminar Series http://embedded.cse.iitd.ernet.in Slide 37/55
  • 38. Typical Flow Floorplan and Power−planning VHDL Model User Options ASIC Synthesizer Libraries Post−synthesis Simulation Yes Static−timing Analysis No OK? Yes ESG Seminar Series Macro−cell Placement Std−cell Placement Generate clock−tree Insert filler cells OK? Timing Analysis and refinement No Timing Analysis and refinement (VHDL to Gate Level Netlist) Timing Analysis and refinement Modify VHDL Model User Options Libraries http://embedded.cse.iitd.ernet.in Connect power rings Global and detailed Routing Extract RC Verify connectivity Output GDSII Slide 38/55
  • 39. Available Synthesis Tools Synopsys Design Compiler: Advantages: Most heavily used (80% market share) Disadvantages: Grave problem with aggregates Vendor: Synopsys Status: Active support Cadence BuildGates: Advantages: Good scripting capabilities, integrates well with back-end Cadence tools, handles aggregates well, cheap Disadvantages: Low-end solution, not very established Vendor: Cadence Status: Being replace by Cadence RTL Compiler ESG Seminar Series http://embedded.cse.iitd.ernet.in Slide 39/55
  • 40. Available Synthesis Tools (contd...) Leonardo Spectrum: Advantages: Similar interface for FPGA and ASIC synthesis Disadvantages: Not established Vendor: Mentor Graphics Status: Being phased out Cadence RTL Compiler Ultra: Advantages: Well received in community, very fast, integrates well with back-end Cadence tools, cheap Disadvantages: New solution Vendor: Cadence Status: Active support ESG Seminar Series http://embedded.cse.iitd.ernet.in Slide 40/55
  • 41. Available Synthesis Tools (contd...) Precision RTL: Advantages: Similar interface for FPGA and ASIC synthesis Disadvantages: ?? Vendor: Mentor Graphics Status: Active support ESG Seminar Series http://embedded.cse.iitd.ernet.in Slide 41/55
  • 42. Available Place and Route Tools Synopsys Apollo: Advantages: Integrates well with front-end Synopsys tools Disadvantages: Not established Vendor: Synopsys Status: Active support Cadence Silicon Ensemble Family (Qplace, Wroute and Groute): Advantages: Very established set of tools, provide full control to the user Disadvantages: Slow, based on very old technology Vendor: Cadence Status: Active support ESG Seminar Series http://embedded.cse.iitd.ernet.in Slide 42/55
  • 43. Available Place and Route Tools Cadence SoC Encounter Family (Nanoroute, Amoeba): Advantages: Next generation tools, run on GNU/Linux, very fast, allow hierarchical design flow without creation of explicit black-box models Disadvantages: Difficult to control at finer level Vendor: Cadence Status: Active support Mentro Graphics ICStation: Advantages: ?? Disadvantages: ?? Vendor: Mentor Graphics Status: Active support ESG Seminar Series http://embedded.cse.iitd.ernet.in Slide 43/55
  • 44. Other tools Tool Name Functionality Qplace Placer (Cadence) Groute Global router (Cadence) Wroute Local router (Cadence) Nanoroute Global and local router (Cadence) CTGen Clock-tree generator which may be used for reset (Cadence) Fire & Ice Parasitic extractor (Cadence) HyperExtract Parasitic extractor (Cadence) Pearl Timing analyzer (Cadence) Primetime Timing analyzer (Synopsys) Primepower Power analyzer (Synopsys) CeltIC IR drop analyzer (Cadence) ESG Seminar Series http://embedded.cse.iitd.ernet.in Slide 44/55
  • 45. Flat Synthesis Using Design-Compiler Specify library search path and libraries Set global constraints (clock period) Set operating conditions and wire load model Write output netlist, constraints and reports (NOTE: Full synthesis script is in ∼help/syntut in philips lab.) ESG Seminar Series http://embedded.cse.iitd.ernet.in Slide 45/55
  • 46. Clock-tree Specification AutoCTSRootPin NoGating MaxDelay MinDelay MaxSkew MaxDepth Buffer End ESG Seminar Series clk NO 0.4ns 0.1ns 20ps 20 CLKBD2 CLKBD4 CLKBD8 CLKBD12 CLKBD16 CLKBD20 CLKB http://embedded.cse.iitd.ernet.in Slide 46/55
  • 47. Constraints File set sdc_version 1.4 current_design accu create_clock [get_ports {clk}] -name clk -period 1.0 -waveform {0 0 set_false_path -from [get_ports {resetn}] ESG Seminar Series http://embedded.cse.iitd.ernet.in Slide 47/55
  • 48. I/O Position File Version: 2 Pin: clk N Pin: resetn N Pin: i[9] E Pin: i[8] E Pin: o[9] W Pin: o[8] W ESG Seminar Series http://embedded.cse.iitd.ernet.in Slide 48/55
  • 49. Configuration File Specify timing and LEF libraries Specify timing constraints file Speficy power and ground lines Specify core utilization, chip area and/or aspect ratio Specify chip orientation (NOTE: Full configuration file is in ∼help/syntut in philips lab.) ESG Seminar Series http://embedded.cse.iitd.ernet.in Slide 49/55
  • 50. Flat P&R Using SoC Encounter Load configuration file, pin placements, Floorplan the chip Add power-rings and stripes Place cells using either the timing driven or power driven mode Perform timing optimization, timing violation fixing and congestion optimization Generate clock-tree Insert filler cells Connect power rings to power pins of cells Route clock-nets Perform global and detailed routing, extract RC Verify connectivity, geometry and output results (NOTE: Full P&R script is in ∼help/syntut in philips lab.) ESG Seminar Series http://embedded.cse.iitd.ernet.in Slide 50/55
  • 51. Hierarchical Flow The following steps are added to the existing flow: Specify the lower level modules as bloack-boxes in design-compiler Generate TLF and LEF models for macros (black-boxes) Place macros immediately after floorplanning, if multiple choices are generated manual selection must be performed later on Freeze the position of macros, so that amoeba doesn’t displace them (NOTE: Full P&R script is in ∼help/syntut in philips lab.) ESG Seminar Series http://embedded.cse.iitd.ernet.in Slide 51/55
  • 52. Outline Overview VHDL Coding Styles FPGA Synthesis, Place and Route Demo of FPGA Synthesis, Place and Route ASIC Synthesis, Place and Route Demo of ASIC Synthesis, Place and Route Conclusions and Further Reading ESG Seminar Series http://embedded.cse.iitd.ernet.in Slide 52/55
  • 53. Outline Overview VHDL Coding Styles FPGA Synthesis, Place and Route Demo of FPGA Synthesis, Place and Route ASIC Synthesis, Place and Route Demo of ASIC Synthesis, Place and Route Conclusions and Further Reading ESG Seminar Series http://embedded.cse.iitd.ernet.in Slide 53/55
  • 54. Conclusions and Further Reading When writing VHDL keep the target hardware in mind Optimization is good but not mandatory, keep the tradeoff between complexity of implementation and efficiency in mind Thorough simulation now will save you a lot of hassle later on FPGA implementation ends up taking more time than synthesis and simulation Tools typically change in three years, knowing idiosyncrasies of tools helps but is not permanent knowledge Further Reading: VHDL Coding Styles at http://www.gaisler.com/doc/vhdl2proc.pdf Product manuals: Cadence SoC Encounter User Guide, Synopsys Design Compiler User Guide etc. Crete Cadence Website http://crete.cadence.com ESG Seminar Series http://embedded.cse.iitd.ernet.in Slide 54/55
  • 55. Thank You Thank You ESG Seminar Series http://embedded.cse.iitd.ernet.in Slide 55/55