SlideShare a Scribd company logo
1 of 18
Information Classification: General
CONTRIBUTE.
COLLABORATE.
COMMERCIALIZE.
December 8-10 | Virtual Event
Information Classification: General
December 8-10 | Virtual Event
Educating the Computer
Architects of Tomorrow's Critical
Systems with RISC-V
Leonidas Kosmidis
Senior Researcher and Junior Faculty
Barcelona Supercomputing Center (BSC) and
Polytechnic University of Catalonia (UPC)
#RISCVSUMMIT
Information Classification: General
Safety Critical Systems
Importance
• Human lives at stake
• Society
• Economic
• Large, Multibillion Markets
3
Information Classification: General
Safety Critical Systems
Required properties
Primary:
• Functional Safety
• Real-Time Properties
• Reliability
• Security
• Privacy
Secondary:
• High Performance
• Low Power Consumption
Significant interest from companies in all these industries in RISC-V!
4
Information Classification: General
Why Safety Critical Companies are
interested in RISC-V?
• Customisation
• Cost Reduction
• Open Architecture
• Full access to documentation and implementation details when using open source designs
However RISC-V cores with safety-critical features are underrepresented in the available open source designs
5
Information Classification: General
Computer Architecture Curriculum at UPC
and RISC-V Related projects at BSC
6
Computer Science and
Engineering
Undergraduate Program
MSc in Innovation and
Research in Informatics
Computer Architecture
Computer Architecture 2
Computer Eng. Project
High Perf. Computer Arch
Processor Architecture
Processor Design
MSc in Computer
Science and Engineering
Information Classification: General
Processor Design
• Advanced Graduate Course, optional subject within the High Performance Computing specialisation of the Master’s
in Innovation and Research in Informatics (MIRI) at UPC
• Project-oriented course with a semester-wide RTL project
• Implementation of an advanced feature within the selected baseline processor
• Complete freedom of choice of baseline processor, HDL, tools, project
• Keeps students motivated
• Promotes creativity
• Groups of 2 or more students to implement larger projects
• Weekly follow-ups and regular deliverables
• Covers the entire design cycle from Specification to Verification
• Optional features with bonus grades: area/delay/power overheads analysis/trade-offs, physical design,
documentation improvement
7
Information Classification: General
Processor Design Fall 2019
Projects Overview
8
7 RISC-V based projects
• 5 CPU projects
• 2 accelerators
• 2 based on BSC’s Lagarto
• In-order core refactoring for the tape-out, vector instructions
• 3 based on Western Digital’s SweRV
• Support for WCET computation, dual and triple lock-step designs
• 1 Ariane
• ECC
• 1 Rocket
• Cryptographic Accelerator
Details and source code links: Kosmidis et al, Processor Design Fall 2019: Critical and Real-Time Systems Projects, Tech Report
UPC-DAC-RR-CAP-2020-1, https://www.ac.upc.edu/app/research-reports/public/html/research_center_index-CAP-2020,en.html
Information Classification: General
Dual and Triple lockstep
Based on SweRV EH1
9
Common solution implemented in safety critical domains to protect against errors
General idea: two or three independent hardware instances execute the same code with small time difference
Same inputs, output comparison every cycle
• Dual-lockstep can only detect an error
• Typically used in the automotive sector (fail-safe approach)
• Triple lockstep can also correct an error
• Used in avionics (fail-operational approach)
The implementation can be:
• fine-grained: check internal signals, e.g. at instruction commit
• coarse-grained: check only core outputs, typically implemented in avionics comparing the output of different chips
The time difference offers diverse redundancy, in order to avoid transient faults
EH1 already contains ECC for cache and TCM protection
Information Classification: General
Dual and Triple lockstep
Based on SweRV EH1
10
Two different implementations with different trade-offs
Team Members: Jeremy Giesen, Adrian Munera Team Members: Marie Denoo, Jean-Paul Tarot
Dual and Triple lockstep designs
Complete core replication, including caches and
AXI-lite buses
Area and delay overhead analysis using the FPGA
port of EH1
Dual-lockstep
More efficient implementation
The cores are connected in the same AXI-
lite buses
Extensive verification using Cocotb
Replicated components
Information Classification: General
WCET Support
Based on SweRV EH1
11
Added support for Measurement-Based Probabilistic Timing Analysis (MBPTA) [1]
Minor modifications required in the design [2][3]
• Time-Randomisation in the hard to predict and frequently used hardware
resources
• cache, using random placement [4] and replacement
• Worst-case upper-bounding of resources with small variability and less
frequently used
• divider, fixed latency to its maximum, 34 cycles
• Pseudo-Random Number Generator
Team Members: Javier Barrera, Iván Rodríguez
[1] Cucu et al, Measurement-Based Probabilistic Timing Analysis for Multi-path Programs, ECRTS 2012
[2] Kosmidis et al, Fitting processor architectures for measurement-based probabilistic timing analysis, Microprocessors &
Microsystems 2016
[3] Kosmidis, Enabling Caches in Probabilistic Timing Analysis, PhD Thesis 2017
[4] Kosmidis et al, A cache design for probabilistically analysable real-time systems, DATE 2013
Cache bank0
Cache bank1
Cache bank2
Cache bank3
Cache bank4
Cache bank5
Cache bank6
Cache bank7
Information Classification: General
Lagarto In-order BSC’s RISC-V Core
Core improvements for the next tape out
12
Baseline (preDRAC):
First open-source core developed in Spain
5-stages in-order core
• Branch Predictor
• Boots Linux on FPGA, passes official RISC-V ISA tests
• First tape out in May 2019, TSMC 65nm, 2.5mm2
• 200MHz frequency
Team Members: Guillem Cabo, Ruben Langarita, Guillem Lopez
Project improvements:
• Migrate the design to System Verilog
• Maintain the performance, fix some known bugs
• Refactored the core dividing it in Control Unit and
Datapath
• Decouple the access to ICache and DCache
• Improved Verification
• Continuous integration
• Update the ISA to the latest one
Lagarto to be open sourced soon by BSC
including these improvements
Information Classification: General
Lagarto In-order BSC’s RISC-V Core
Vector extensions
13
Add RISC-V vector extensions to Lagarto
• Based on the Working Draft Specification of Nov. 2019
• Subset of the specification implemented
• Integer and Bitwise operations
• Vector-vector
• Vector-register
• Vector-immediate
• Loads
• Parameterizable VBW data bus
• Parameterizable VLEN size
• Out-of-Order issue
• Single in-flight instruction
Team Members: Fabio Banchelli, Kilian Peiro
Information Classification: General
Rocket
RSA Montgomery Accelerator
14
Take advantage of the RoCC interface
• Implementation of both hardware accelerator and software stack
RSA implementation based on Montgomery Exponentiation and
Multiplication
Encryption and decryption of 128-bit blocks
Verification based on Verilator and Cocotb
• Used arbitrary arithmetic in Python interfaced with the C++
code of Verilator
Docker image available
Team Members: Ruben Cano, Juan Miguel de Haro
Information Classification: General
Ariane
ECC Memory protection
15
Two solutions implemented
• Error detection based on parity
• 1 parity bit per 64 bits
• Low hardware overhead
• Can only detect an odd number of single event upsets (SEUs)
• Error correction based on triple redundancy
• Very high cost
• Can correct up to 64 bit errors
Team Members: Wout Klingele, Killian Storm
Information Classification: General
Processor Design Fall 2020
Projects Overview
16
9 RISC-V based projects:
• 5 CPU projects, 4 accelerators
• BSC Lagarto-based: Out-of-order core improvements for the tape-out, integration with EPI’s Vector Accelerator
• Western Digital’s SweRV EL2 based: Support for WCET computation and time-predictable multi-threading
• Custom RISC-V implementation Implemented in the Processor Architecture 2019: Hard real-time multi-threading
• Noel-V/LEON3: Custom vector extensions and timing predictability
• Ariane-based: Modifications for Timing predictability
• 2 GPU projects based on Vortex
• WCET oriented and fault-tolerant design, custom GPU design compatible with Vortex
• Custom Binarised Neural Network Accelerator
• Using ESA’s TASTE Model-Based Design Framework for the software stack
• Custom Google’s TPU-like Accelerator
Details and source code links to be published after the end of the course
Information Classification: General
Acknowledgements
My colleagues:
Miquel Moretó (BSC/UPC), Ramon Canal (UPC), Jose Maria Arnau (UPC), Roger Espasa (UPC/Semidynamics)
My students:
• Class 2019: Fabio Banchelli, Javier Barrera, Guillem Cabo, Ruben Cano, Marie Denoo, Jeremy Giesen, Juan Miguel
de Haro, Wout Klingele, Ruben Langarita, Guillem Lopez, Adrian Munera, Kilian Peiro, Ivan Rodríguez, Killian Storm,
Jean-Paul Tarot
• Class 2020: Marco Aguado, David Alvarez, Max Doblas, Mehdi Hassanpour, Victor Jimenez, Alvaro Jover, Julien
Labarre, Cristina Peralta, Mario Rodriguez, Joel, Sanchez, Sergio Sanchez, Marc Sole, Victor Soria, Jannis Wolf
My Funding Agencies:
Spanish Ministry of Science and Innovation (MINECO) grants PID2019-107255GB and FJCI-2017-34095, GPU4S
(GPU for Space) ESA ITT AO/1-9010/17/NL/AF, HiPEAC Network of Excellence
and of course
The RISC-V Foundation and all its Open Source Ecosystem
17
Information Classification: General
December 8-10 | Virtual Event
Thank you for joining us.
Contribute to the RISC-V conversation on social!
#RISCVSUMMIT @risc_v

More Related Content

What's hot

Andes RISC-V vector extension demystified-tutorial
Andes RISC-V vector extension demystified-tutorialAndes RISC-V vector extension demystified-tutorial
Andes RISC-V vector extension demystified-tutorialRISC-V International
 
Andes andes clarity for risc-v vector processor
Andes andes clarity for risc-v vector processorAndes andes clarity for risc-v vector processor
Andes andes clarity for risc-v vector processorRISC-V International
 
Easily emulating full systems on amazon fpg as
Easily emulating full systems on amazon fpg asEasily emulating full systems on amazon fpg as
Easily emulating full systems on amazon fpg asRISC-V International
 
RISC-V & SoC Architectural Exploration for AI and ML Accelerators
RISC-V & SoC Architectural Exploration for AI and ML AcceleratorsRISC-V & SoC Architectural Exploration for AI and ML Accelerators
RISC-V & SoC Architectural Exploration for AI and ML AcceleratorsRISC-V International
 
Klessydra-T: Designing Configurable Vector Co-Processors for Multi-Threaded E...
Klessydra-T: Designing Configurable Vector Co-Processors for Multi-Threaded E...Klessydra-T: Designing Configurable Vector Co-Processors for Multi-Threaded E...
Klessydra-T: Designing Configurable Vector Co-Processors for Multi-Threaded E...RISC-V International
 
Semi dynamics high bandwidth vector capable RISC-V cores
Semi dynamics high bandwidth vector capable RISC-V coresSemi dynamics high bandwidth vector capable RISC-V cores
Semi dynamics high bandwidth vector capable RISC-V coresRISC-V International
 
Closing the RISC-V compliance gap via fuzzing
Closing the RISC-V compliance gap via fuzzingClosing the RISC-V compliance gap via fuzzing
Closing the RISC-V compliance gap via fuzzingRISC-V International
 
Ripes: Teaching Computer Architecture Through Visual and Interactive Simulators
Ripes: Teaching Computer Architecture Through Visual and Interactive SimulatorsRipes: Teaching Computer Architecture Through Visual and Interactive Simulators
Ripes: Teaching Computer Architecture Through Visual and Interactive SimulatorsRISC-V International
 
Tech talk with lampro mellon an open source solution for accelerating verific...
Tech talk with lampro mellon an open source solution for accelerating verific...Tech talk with lampro mellon an open source solution for accelerating verific...
Tech talk with lampro mellon an open source solution for accelerating verific...RISC-V International
 
RISC-V software state of the union
RISC-V software state of the unionRISC-V software state of the union
RISC-V software state of the unionRISC-V International
 
Fueling the datasphere how RISC-V enables the storage ecosystem
Fueling the datasphere   how RISC-V enables the storage ecosystemFueling the datasphere   how RISC-V enables the storage ecosystem
Fueling the datasphere how RISC-V enables the storage ecosystemRISC-V International
 
Gernot heiser unsw sydney and se l4 foundation
Gernot heiser unsw sydney and se l4 foundationGernot heiser unsw sydney and se l4 foundation
Gernot heiser unsw sydney and se l4 foundationRISC-V International
 
Codasip application class RISC-V processor solutions
Codasip application class RISC-V processor solutionsCodasip application class RISC-V processor solutions
Codasip application class RISC-V processor solutionsRISC-V International
 
Reverse Engineering of Rocket Chip
Reverse Engineering of Rocket ChipReverse Engineering of Rocket Chip
Reverse Engineering of Rocket ChipRISC-V International
 
Data on the move a RISC-V opportunity
Data on the move   a RISC-V opportunityData on the move   a RISC-V opportunity
Data on the move a RISC-V opportunityRISC-V International
 
RISC-V Summit 2020: The Next Ten Years
RISC-V Summit 2020: The Next Ten YearsRISC-V Summit 2020: The Next Ten Years
RISC-V Summit 2020: The Next Ten YearsRISC-V International
 
RISC-V 30946 manuel_offenberg_v3_notes
RISC-V 30946 manuel_offenberg_v3_notesRISC-V 30946 manuel_offenberg_v3_notes
RISC-V 30946 manuel_offenberg_v3_notesRISC-V International
 
Chips alliance omni xtend overview
Chips alliance omni xtend overviewChips alliance omni xtend overview
Chips alliance omni xtend overviewRISC-V International
 
RISC-V 30906 hex five multi_zone iot firmware
RISC-V 30906 hex five multi_zone iot firmwareRISC-V 30906 hex five multi_zone iot firmware
RISC-V 30906 hex five multi_zone iot firmwareRISC-V International
 

What's hot (20)

Andes RISC-V vector extension demystified-tutorial
Andes RISC-V vector extension demystified-tutorialAndes RISC-V vector extension demystified-tutorial
Andes RISC-V vector extension demystified-tutorial
 
Andes andes clarity for risc-v vector processor
Andes andes clarity for risc-v vector processorAndes andes clarity for risc-v vector processor
Andes andes clarity for risc-v vector processor
 
Easily emulating full systems on amazon fpg as
Easily emulating full systems on amazon fpg asEasily emulating full systems on amazon fpg as
Easily emulating full systems on amazon fpg as
 
RISC-V & SoC Architectural Exploration for AI and ML Accelerators
RISC-V & SoC Architectural Exploration for AI and ML AcceleratorsRISC-V & SoC Architectural Exploration for AI and ML Accelerators
RISC-V & SoC Architectural Exploration for AI and ML Accelerators
 
Klessydra-T: Designing Configurable Vector Co-Processors for Multi-Threaded E...
Klessydra-T: Designing Configurable Vector Co-Processors for Multi-Threaded E...Klessydra-T: Designing Configurable Vector Co-Processors for Multi-Threaded E...
Klessydra-T: Designing Configurable Vector Co-Processors for Multi-Threaded E...
 
Semi dynamics high bandwidth vector capable RISC-V cores
Semi dynamics high bandwidth vector capable RISC-V coresSemi dynamics high bandwidth vector capable RISC-V cores
Semi dynamics high bandwidth vector capable RISC-V cores
 
Andes RISC-V processor solutions
Andes RISC-V processor solutionsAndes RISC-V processor solutions
Andes RISC-V processor solutions
 
Closing the RISC-V compliance gap via fuzzing
Closing the RISC-V compliance gap via fuzzingClosing the RISC-V compliance gap via fuzzing
Closing the RISC-V compliance gap via fuzzing
 
Ripes: Teaching Computer Architecture Through Visual and Interactive Simulators
Ripes: Teaching Computer Architecture Through Visual and Interactive SimulatorsRipes: Teaching Computer Architecture Through Visual and Interactive Simulators
Ripes: Teaching Computer Architecture Through Visual and Interactive Simulators
 
Tech talk with lampro mellon an open source solution for accelerating verific...
Tech talk with lampro mellon an open source solution for accelerating verific...Tech talk with lampro mellon an open source solution for accelerating verific...
Tech talk with lampro mellon an open source solution for accelerating verific...
 
RISC-V software state of the union
RISC-V software state of the unionRISC-V software state of the union
RISC-V software state of the union
 
Fueling the datasphere how RISC-V enables the storage ecosystem
Fueling the datasphere   how RISC-V enables the storage ecosystemFueling the datasphere   how RISC-V enables the storage ecosystem
Fueling the datasphere how RISC-V enables the storage ecosystem
 
Gernot heiser unsw sydney and se l4 foundation
Gernot heiser unsw sydney and se l4 foundationGernot heiser unsw sydney and se l4 foundation
Gernot heiser unsw sydney and se l4 foundation
 
Codasip application class RISC-V processor solutions
Codasip application class RISC-V processor solutionsCodasip application class RISC-V processor solutions
Codasip application class RISC-V processor solutions
 
Reverse Engineering of Rocket Chip
Reverse Engineering of Rocket ChipReverse Engineering of Rocket Chip
Reverse Engineering of Rocket Chip
 
Data on the move a RISC-V opportunity
Data on the move   a RISC-V opportunityData on the move   a RISC-V opportunity
Data on the move a RISC-V opportunity
 
RISC-V Summit 2020: The Next Ten Years
RISC-V Summit 2020: The Next Ten YearsRISC-V Summit 2020: The Next Ten Years
RISC-V Summit 2020: The Next Ten Years
 
RISC-V 30946 manuel_offenberg_v3_notes
RISC-V 30946 manuel_offenberg_v3_notesRISC-V 30946 manuel_offenberg_v3_notes
RISC-V 30946 manuel_offenberg_v3_notes
 
Chips alliance omni xtend overview
Chips alliance omni xtend overviewChips alliance omni xtend overview
Chips alliance omni xtend overview
 
RISC-V 30906 hex five multi_zone iot firmware
RISC-V 30906 hex five multi_zone iot firmwareRISC-V 30906 hex five multi_zone iot firmware
RISC-V 30906 hex five multi_zone iot firmware
 

Similar to Educating the computer architects of tomorrow's critical systems with RISC-V

Evaluating UCIe based multi-die SoC to meet timing and power
Evaluating UCIe based multi-die SoC to meet timing and power Evaluating UCIe based multi-die SoC to meet timing and power
Evaluating UCIe based multi-die SoC to meet timing and power Deepak Shankar
 
The Art of Displaying Industrial Data
The Art of Displaying Industrial DataThe Art of Displaying Industrial Data
The Art of Displaying Industrial DataInductive Automation
 
Secure IOT Gateway
Secure IOT GatewaySecure IOT Gateway
Secure IOT GatewayLF Events
 
System On Chip (SOC)
System On Chip (SOC)System On Chip (SOC)
System On Chip (SOC)Shivam Gupta
 
Building IoT Mashups for Industry 4.0 with Eclipse Kura and Kura Wires
Building IoT Mashups for Industry 4.0 with Eclipse Kura and Kura WiresBuilding IoT Mashups for Industry 4.0 with Eclipse Kura and Kura Wires
Building IoT Mashups for Industry 4.0 with Eclipse Kura and Kura WiresEclipse Kura
 
Building IoT Mashups for Industry 4.0 with Eclipse Kura and Kura Wires
Building IoT Mashups for Industry 4.0 with Eclipse Kura and Kura WiresBuilding IoT Mashups for Industry 4.0 with Eclipse Kura and Kura Wires
Building IoT Mashups for Industry 4.0 with Eclipse Kura and Kura WiresEurotech
 
Resume_DharshanBM
Resume_DharshanBMResume_DharshanBM
Resume_DharshanBMDarshan Bm
 
Rapid development of WSN applications
Rapid development of WSN applicationsRapid development of WSN applications
Rapid development of WSN applicationsAlexios Lekidis
 
Bhadale Group of Companies -Universal Quantum Computer System Design catalogue
Bhadale Group of Companies -Universal Quantum Computer System Design catalogueBhadale Group of Companies -Universal Quantum Computer System Design catalogue
Bhadale Group of Companies -Universal Quantum Computer System Design catalogueVijayananda Mohire
 
Architecting Low Latency Applications Alberto Gonzalez
Architecting Low Latency Applications Alberto GonzalezArchitecting Low Latency Applications Alberto Gonzalez
Architecting Low Latency Applications Alberto GonzalezAlberto González Trastoy
 
Lecture_IIITD.pptx
Lecture_IIITD.pptxLecture_IIITD.pptx
Lecture_IIITD.pptxachakracu
 
A new era of opensource hardware Pakistan's story MERL.pdf
A new era of opensource hardware Pakistan's story MERL.pdfA new era of opensource hardware Pakistan's story MERL.pdf
A new era of opensource hardware Pakistan's story MERL.pdfAli Ahmed, Ph.D.
 
AccML, co-located with HiPEAC 2021_Pedro Trancoso presentation
AccML, co-located with HiPEAC 2021_Pedro Trancoso presentationAccML, co-located with HiPEAC 2021_Pedro Trancoso presentation
AccML, co-located with HiPEAC 2021_Pedro Trancoso presentationVEDLIoT Project
 
DRAC: Designing RISC-V-based Accelerators for next generation Computers
DRAC: Designing RISC-V-based Accelerators for next generation ComputersDRAC: Designing RISC-V-based Accelerators for next generation Computers
DRAC: Designing RISC-V-based Accelerators for next generation ComputersFacultad de Informática UCM
 
OCP Telco Engineering Workshop at BCE2017
OCP Telco Engineering Workshop at BCE2017OCP Telco Engineering Workshop at BCE2017
OCP Telco Engineering Workshop at BCE2017Radisys Corporation
 

Similar to Educating the computer architects of tomorrow's critical systems with RISC-V (20)

Evaluating UCIe based multi-die SoC to meet timing and power
Evaluating UCIe based multi-die SoC to meet timing and power Evaluating UCIe based multi-die SoC to meet timing and power
Evaluating UCIe based multi-die SoC to meet timing and power
 
Thesis presentation
Thesis presentationThesis presentation
Thesis presentation
 
The Art of Displaying Industrial Data
The Art of Displaying Industrial DataThe Art of Displaying Industrial Data
The Art of Displaying Industrial Data
 
Secure IOT Gateway
Secure IOT GatewaySecure IOT Gateway
Secure IOT Gateway
 
System On Chip (SOC)
System On Chip (SOC)System On Chip (SOC)
System On Chip (SOC)
 
Building IoT Mashups for Industry 4.0 with Eclipse Kura and Kura Wires
Building IoT Mashups for Industry 4.0 with Eclipse Kura and Kura WiresBuilding IoT Mashups for Industry 4.0 with Eclipse Kura and Kura Wires
Building IoT Mashups for Industry 4.0 with Eclipse Kura and Kura Wires
 
Building IoT Mashups for Industry 4.0 with Eclipse Kura and Kura Wires
Building IoT Mashups for Industry 4.0 with Eclipse Kura and Kura WiresBuilding IoT Mashups for Industry 4.0 with Eclipse Kura and Kura Wires
Building IoT Mashups for Industry 4.0 with Eclipse Kura and Kura Wires
 
Quantum Cryptography
Quantum Cryptography  Quantum Cryptography
Quantum Cryptography
 
Resume_DharshanBM
Resume_DharshanBMResume_DharshanBM
Resume_DharshanBM
 
Rapid development of WSN applications
Rapid development of WSN applicationsRapid development of WSN applications
Rapid development of WSN applications
 
resume2
resume2resume2
resume2
 
Bhadale Group of Companies -Universal Quantum Computer System Design catalogue
Bhadale Group of Companies -Universal Quantum Computer System Design catalogueBhadale Group of Companies -Universal Quantum Computer System Design catalogue
Bhadale Group of Companies -Universal Quantum Computer System Design catalogue
 
Architecting Low Latency Applications Alberto Gonzalez
Architecting Low Latency Applications Alberto GonzalezArchitecting Low Latency Applications Alberto Gonzalez
Architecting Low Latency Applications Alberto Gonzalez
 
Lecture_IIITD.pptx
Lecture_IIITD.pptxLecture_IIITD.pptx
Lecture_IIITD.pptx
 
01-06 OCRE Test Suite - Fernandes.pdf
01-06 OCRE Test Suite - Fernandes.pdf01-06 OCRE Test Suite - Fernandes.pdf
01-06 OCRE Test Suite - Fernandes.pdf
 
A new era of opensource hardware Pakistan's story MERL.pdf
A new era of opensource hardware Pakistan's story MERL.pdfA new era of opensource hardware Pakistan's story MERL.pdf
A new era of opensource hardware Pakistan's story MERL.pdf
 
AccML, co-located with HiPEAC 2021_Pedro Trancoso presentation
AccML, co-located with HiPEAC 2021_Pedro Trancoso presentationAccML, co-located with HiPEAC 2021_Pedro Trancoso presentation
AccML, co-located with HiPEAC 2021_Pedro Trancoso presentation
 
GPA Software Overview R3
GPA Software Overview R3GPA Software Overview R3
GPA Software Overview R3
 
DRAC: Designing RISC-V-based Accelerators for next generation Computers
DRAC: Designing RISC-V-based Accelerators for next generation ComputersDRAC: Designing RISC-V-based Accelerators for next generation Computers
DRAC: Designing RISC-V-based Accelerators for next generation Computers
 
OCP Telco Engineering Workshop at BCE2017
OCP Telco Engineering Workshop at BCE2017OCP Telco Engineering Workshop at BCE2017
OCP Telco Engineering Workshop at BCE2017
 

More from RISC-V International

London Open Source Meetup for RISC-V
London Open Source Meetup for RISC-VLondon Open Source Meetup for RISC-V
London Open Source Meetup for RISC-VRISC-V International
 
Ziptillion boosting RISC-V with an efficient and os transparent memory comp...
Ziptillion   boosting RISC-V with an efficient and os transparent memory comp...Ziptillion   boosting RISC-V with an efficient and os transparent memory comp...
Ziptillion boosting RISC-V with an efficient and os transparent memory comp...RISC-V International
 
Static partitioning virtualization on RISC-V
Static partitioning virtualization on RISC-VStatic partitioning virtualization on RISC-V
Static partitioning virtualization on RISC-VRISC-V International
 
Standardizing the tee with global platform and RISC-V
Standardizing the tee with global platform and RISC-VStandardizing the tee with global platform and RISC-V
Standardizing the tee with global platform and RISC-VRISC-V International
 
RISC-V NOEL-V - A new high performance RISC-V Processor Family
RISC-V NOEL-V - A new high performance RISC-V Processor FamilyRISC-V NOEL-V - A new high performance RISC-V Processor Family
RISC-V NOEL-V - A new high performance RISC-V Processor FamilyRISC-V International
 
RISC-V 30910 kassem_ summit 2020 - so_c_gen
RISC-V 30910 kassem_ summit 2020 - so_c_genRISC-V 30910 kassem_ summit 2020 - so_c_gen
RISC-V 30910 kassem_ summit 2020 - so_c_genRISC-V International
 
RISC-V 30907 summit 2020 joint picocom_mentor
RISC-V 30907 summit 2020 joint picocom_mentorRISC-V 30907 summit 2020 joint picocom_mentor
RISC-V 30907 summit 2020 joint picocom_mentorRISC-V International
 
Ripes tracking computer architecture throught visual and interactive simula...
Ripes   tracking computer architecture throught visual and interactive simula...Ripes   tracking computer architecture throught visual and interactive simula...
Ripes tracking computer architecture throught visual and interactive simula...RISC-V International
 
Open source manufacturable pdk for sky water 130nm process node
Open source manufacturable pdk for sky water 130nm process nodeOpen source manufacturable pdk for sky water 130nm process node
Open source manufacturable pdk for sky water 130nm process nodeRISC-V International
 
Online test program generator for RISC-V processors
Online test program generator for RISC-V processorsOnline test program generator for RISC-V processors
Online test program generator for RISC-V processorsRISC-V International
 
Klessydra t - designing vector coprocessors for multi-threaded edge-computing...
Klessydra t - designing vector coprocessors for multi-threaded edge-computing...Klessydra t - designing vector coprocessors for multi-threaded edge-computing...
Klessydra t - designing vector coprocessors for multi-threaded edge-computing...RISC-V International
 

More from RISC-V International (20)

WD RISC-V inliner work effort
WD RISC-V inliner work effortWD RISC-V inliner work effort
WD RISC-V inliner work effort
 
RISC-V Zce Extension
RISC-V Zce ExtensionRISC-V Zce Extension
RISC-V Zce Extension
 
RISC-V Online Tutor
RISC-V Online TutorRISC-V Online Tutor
RISC-V Online Tutor
 
London Open Source Meetup for RISC-V
London Open Source Meetup for RISC-VLondon Open Source Meetup for RISC-V
London Open Source Meetup for RISC-V
 
RISC-V Introduction
RISC-V IntroductionRISC-V Introduction
RISC-V Introduction
 
Ziptillion boosting RISC-V with an efficient and os transparent memory comp...
Ziptillion   boosting RISC-V with an efficient and os transparent memory comp...Ziptillion   boosting RISC-V with an efficient and os transparent memory comp...
Ziptillion boosting RISC-V with an efficient and os transparent memory comp...
 
Static partitioning virtualization on RISC-V
Static partitioning virtualization on RISC-VStatic partitioning virtualization on RISC-V
Static partitioning virtualization on RISC-V
 
Standardizing the tee with global platform and RISC-V
Standardizing the tee with global platform and RISC-VStandardizing the tee with global platform and RISC-V
Standardizing the tee with global platform and RISC-V
 
RISC-V NOEL-V - A new high performance RISC-V Processor Family
RISC-V NOEL-V - A new high performance RISC-V Processor FamilyRISC-V NOEL-V - A new high performance RISC-V Processor Family
RISC-V NOEL-V - A new high performance RISC-V Processor Family
 
RISC-V 30910 kassem_ summit 2020 - so_c_gen
RISC-V 30910 kassem_ summit 2020 - so_c_genRISC-V 30910 kassem_ summit 2020 - so_c_gen
RISC-V 30910 kassem_ summit 2020 - so_c_gen
 
RISC-V 30908 patra
RISC-V 30908 patraRISC-V 30908 patra
RISC-V 30908 patra
 
RISC-V 30907 summit 2020 joint picocom_mentor
RISC-V 30907 summit 2020 joint picocom_mentorRISC-V 30907 summit 2020 joint picocom_mentor
RISC-V 30907 summit 2020 joint picocom_mentor
 
Ripes tracking computer architecture throught visual and interactive simula...
Ripes   tracking computer architecture throught visual and interactive simula...Ripes   tracking computer architecture throught visual and interactive simula...
Ripes tracking computer architecture throught visual and interactive simula...
 
Porting tock to open titan
Porting tock to open titanPorting tock to open titan
Porting tock to open titan
 
Open j9 jdk on RISC-V
Open j9 jdk on RISC-VOpen j9 jdk on RISC-V
Open j9 jdk on RISC-V
 
Open source manufacturable pdk for sky water 130nm process node
Open source manufacturable pdk for sky water 130nm process nodeOpen source manufacturable pdk for sky water 130nm process node
Open source manufacturable pdk for sky water 130nm process node
 
Online test program generator for RISC-V processors
Online test program generator for RISC-V processorsOnline test program generator for RISC-V processors
Online test program generator for RISC-V processors
 
Klessydra t - designing vector coprocessors for multi-threaded edge-computing...
Klessydra t - designing vector coprocessors for multi-threaded edge-computing...Klessydra t - designing vector coprocessors for multi-threaded edge-computing...
Klessydra t - designing vector coprocessors for multi-threaded edge-computing...
 
Developing for polar fire soc
Developing for polar fire socDeveloping for polar fire soc
Developing for polar fire soc
 
Data trustworthiness at the edge
Data trustworthiness at the edgeData trustworthiness at the edge
Data trustworthiness at the edge
 

Recently uploaded

08448380779 Call Girls In Diplomatic Enclave Women Seeking Men
08448380779 Call Girls In Diplomatic Enclave Women Seeking Men08448380779 Call Girls In Diplomatic Enclave Women Seeking Men
08448380779 Call Girls In Diplomatic Enclave Women Seeking MenDelhi Call girls
 
Mastering MySQL Database Architecture: Deep Dive into MySQL Shell and MySQL R...
Mastering MySQL Database Architecture: Deep Dive into MySQL Shell and MySQL R...Mastering MySQL Database Architecture: Deep Dive into MySQL Shell and MySQL R...
Mastering MySQL Database Architecture: Deep Dive into MySQL Shell and MySQL R...Miguel Araújo
 
The 7 Things I Know About Cyber Security After 25 Years | April 2024
The 7 Things I Know About Cyber Security After 25 Years | April 2024The 7 Things I Know About Cyber Security After 25 Years | April 2024
The 7 Things I Know About Cyber Security After 25 Years | April 2024Rafal Los
 
Google AI Hackathon: LLM based Evaluator for RAG
Google AI Hackathon: LLM based Evaluator for RAGGoogle AI Hackathon: LLM based Evaluator for RAG
Google AI Hackathon: LLM based Evaluator for RAGSujit Pal
 
Enhancing Worker Digital Experience: A Hands-on Workshop for Partners
Enhancing Worker Digital Experience: A Hands-on Workshop for PartnersEnhancing Worker Digital Experience: A Hands-on Workshop for Partners
Enhancing Worker Digital Experience: A Hands-on Workshop for PartnersThousandEyes
 
Swan(sea) Song – personal research during my six years at Swansea ... and bey...
Swan(sea) Song – personal research during my six years at Swansea ... and bey...Swan(sea) Song – personal research during my six years at Swansea ... and bey...
Swan(sea) Song – personal research during my six years at Swansea ... and bey...Alan Dix
 
Handwritten Text Recognition for manuscripts and early printed texts
Handwritten Text Recognition for manuscripts and early printed textsHandwritten Text Recognition for manuscripts and early printed texts
Handwritten Text Recognition for manuscripts and early printed textsMaria Levchenko
 
08448380779 Call Girls In Greater Kailash - I Women Seeking Men
08448380779 Call Girls In Greater Kailash - I Women Seeking Men08448380779 Call Girls In Greater Kailash - I Women Seeking Men
08448380779 Call Girls In Greater Kailash - I Women Seeking MenDelhi Call girls
 
How to Troubleshoot Apps for the Modern Connected Worker
How to Troubleshoot Apps for the Modern Connected WorkerHow to Troubleshoot Apps for the Modern Connected Worker
How to Troubleshoot Apps for the Modern Connected WorkerThousandEyes
 
My Hashitalk Indonesia April 2024 Presentation
My Hashitalk Indonesia April 2024 PresentationMy Hashitalk Indonesia April 2024 Presentation
My Hashitalk Indonesia April 2024 PresentationRidwan Fadjar
 
#StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024
#StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024#StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024
#StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024BookNet Canada
 
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...Drew Madelung
 
Data Cloud, More than a CDP by Matt Robison
Data Cloud, More than a CDP by Matt RobisonData Cloud, More than a CDP by Matt Robison
Data Cloud, More than a CDP by Matt RobisonAnna Loughnan Colquhoun
 
A Call to Action for Generative AI in 2024
A Call to Action for Generative AI in 2024A Call to Action for Generative AI in 2024
A Call to Action for Generative AI in 2024Results
 
FULL ENJOY 🔝 8264348440 🔝 Call Girls in Diplomatic Enclave | Delhi
FULL ENJOY 🔝 8264348440 🔝 Call Girls in Diplomatic Enclave | DelhiFULL ENJOY 🔝 8264348440 🔝 Call Girls in Diplomatic Enclave | Delhi
FULL ENJOY 🔝 8264348440 🔝 Call Girls in Diplomatic Enclave | Delhisoniya singh
 
Kalyanpur ) Call Girls in Lucknow Finest Escorts Service 🍸 8923113531 🎰 Avail...
Kalyanpur ) Call Girls in Lucknow Finest Escorts Service 🍸 8923113531 🎰 Avail...Kalyanpur ) Call Girls in Lucknow Finest Escorts Service 🍸 8923113531 🎰 Avail...
Kalyanpur ) Call Girls in Lucknow Finest Escorts Service 🍸 8923113531 🎰 Avail...gurkirankumar98700
 
08448380779 Call Girls In Civil Lines Women Seeking Men
08448380779 Call Girls In Civil Lines Women Seeking Men08448380779 Call Girls In Civil Lines Women Seeking Men
08448380779 Call Girls In Civil Lines Women Seeking MenDelhi Call girls
 
🐬 The future of MySQL is Postgres 🐘
🐬  The future of MySQL is Postgres   🐘🐬  The future of MySQL is Postgres   🐘
🐬 The future of MySQL is Postgres 🐘RTylerCroy
 
Unblocking The Main Thread Solving ANRs and Frozen Frames
Unblocking The Main Thread Solving ANRs and Frozen FramesUnblocking The Main Thread Solving ANRs and Frozen Frames
Unblocking The Main Thread Solving ANRs and Frozen FramesSinan KOZAK
 
IAC 2024 - IA Fast Track to Search Focused AI Solutions
IAC 2024 - IA Fast Track to Search Focused AI SolutionsIAC 2024 - IA Fast Track to Search Focused AI Solutions
IAC 2024 - IA Fast Track to Search Focused AI SolutionsEnterprise Knowledge
 

Recently uploaded (20)

08448380779 Call Girls In Diplomatic Enclave Women Seeking Men
08448380779 Call Girls In Diplomatic Enclave Women Seeking Men08448380779 Call Girls In Diplomatic Enclave Women Seeking Men
08448380779 Call Girls In Diplomatic Enclave Women Seeking Men
 
Mastering MySQL Database Architecture: Deep Dive into MySQL Shell and MySQL R...
Mastering MySQL Database Architecture: Deep Dive into MySQL Shell and MySQL R...Mastering MySQL Database Architecture: Deep Dive into MySQL Shell and MySQL R...
Mastering MySQL Database Architecture: Deep Dive into MySQL Shell and MySQL R...
 
The 7 Things I Know About Cyber Security After 25 Years | April 2024
The 7 Things I Know About Cyber Security After 25 Years | April 2024The 7 Things I Know About Cyber Security After 25 Years | April 2024
The 7 Things I Know About Cyber Security After 25 Years | April 2024
 
Google AI Hackathon: LLM based Evaluator for RAG
Google AI Hackathon: LLM based Evaluator for RAGGoogle AI Hackathon: LLM based Evaluator for RAG
Google AI Hackathon: LLM based Evaluator for RAG
 
Enhancing Worker Digital Experience: A Hands-on Workshop for Partners
Enhancing Worker Digital Experience: A Hands-on Workshop for PartnersEnhancing Worker Digital Experience: A Hands-on Workshop for Partners
Enhancing Worker Digital Experience: A Hands-on Workshop for Partners
 
Swan(sea) Song – personal research during my six years at Swansea ... and bey...
Swan(sea) Song – personal research during my six years at Swansea ... and bey...Swan(sea) Song – personal research during my six years at Swansea ... and bey...
Swan(sea) Song – personal research during my six years at Swansea ... and bey...
 
Handwritten Text Recognition for manuscripts and early printed texts
Handwritten Text Recognition for manuscripts and early printed textsHandwritten Text Recognition for manuscripts and early printed texts
Handwritten Text Recognition for manuscripts and early printed texts
 
08448380779 Call Girls In Greater Kailash - I Women Seeking Men
08448380779 Call Girls In Greater Kailash - I Women Seeking Men08448380779 Call Girls In Greater Kailash - I Women Seeking Men
08448380779 Call Girls In Greater Kailash - I Women Seeking Men
 
How to Troubleshoot Apps for the Modern Connected Worker
How to Troubleshoot Apps for the Modern Connected WorkerHow to Troubleshoot Apps for the Modern Connected Worker
How to Troubleshoot Apps for the Modern Connected Worker
 
My Hashitalk Indonesia April 2024 Presentation
My Hashitalk Indonesia April 2024 PresentationMy Hashitalk Indonesia April 2024 Presentation
My Hashitalk Indonesia April 2024 Presentation
 
#StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024
#StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024#StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024
#StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024
 
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...
 
Data Cloud, More than a CDP by Matt Robison
Data Cloud, More than a CDP by Matt RobisonData Cloud, More than a CDP by Matt Robison
Data Cloud, More than a CDP by Matt Robison
 
A Call to Action for Generative AI in 2024
A Call to Action for Generative AI in 2024A Call to Action for Generative AI in 2024
A Call to Action for Generative AI in 2024
 
FULL ENJOY 🔝 8264348440 🔝 Call Girls in Diplomatic Enclave | Delhi
FULL ENJOY 🔝 8264348440 🔝 Call Girls in Diplomatic Enclave | DelhiFULL ENJOY 🔝 8264348440 🔝 Call Girls in Diplomatic Enclave | Delhi
FULL ENJOY 🔝 8264348440 🔝 Call Girls in Diplomatic Enclave | Delhi
 
Kalyanpur ) Call Girls in Lucknow Finest Escorts Service 🍸 8923113531 🎰 Avail...
Kalyanpur ) Call Girls in Lucknow Finest Escorts Service 🍸 8923113531 🎰 Avail...Kalyanpur ) Call Girls in Lucknow Finest Escorts Service 🍸 8923113531 🎰 Avail...
Kalyanpur ) Call Girls in Lucknow Finest Escorts Service 🍸 8923113531 🎰 Avail...
 
08448380779 Call Girls In Civil Lines Women Seeking Men
08448380779 Call Girls In Civil Lines Women Seeking Men08448380779 Call Girls In Civil Lines Women Seeking Men
08448380779 Call Girls In Civil Lines Women Seeking Men
 
🐬 The future of MySQL is Postgres 🐘
🐬  The future of MySQL is Postgres   🐘🐬  The future of MySQL is Postgres   🐘
🐬 The future of MySQL is Postgres 🐘
 
Unblocking The Main Thread Solving ANRs and Frozen Frames
Unblocking The Main Thread Solving ANRs and Frozen FramesUnblocking The Main Thread Solving ANRs and Frozen Frames
Unblocking The Main Thread Solving ANRs and Frozen Frames
 
IAC 2024 - IA Fast Track to Search Focused AI Solutions
IAC 2024 - IA Fast Track to Search Focused AI SolutionsIAC 2024 - IA Fast Track to Search Focused AI Solutions
IAC 2024 - IA Fast Track to Search Focused AI Solutions
 

Educating the computer architects of tomorrow's critical systems with RISC-V

  • 2. Information Classification: General December 8-10 | Virtual Event Educating the Computer Architects of Tomorrow's Critical Systems with RISC-V Leonidas Kosmidis Senior Researcher and Junior Faculty Barcelona Supercomputing Center (BSC) and Polytechnic University of Catalonia (UPC) #RISCVSUMMIT
  • 3. Information Classification: General Safety Critical Systems Importance • Human lives at stake • Society • Economic • Large, Multibillion Markets 3
  • 4. Information Classification: General Safety Critical Systems Required properties Primary: • Functional Safety • Real-Time Properties • Reliability • Security • Privacy Secondary: • High Performance • Low Power Consumption Significant interest from companies in all these industries in RISC-V! 4
  • 5. Information Classification: General Why Safety Critical Companies are interested in RISC-V? • Customisation • Cost Reduction • Open Architecture • Full access to documentation and implementation details when using open source designs However RISC-V cores with safety-critical features are underrepresented in the available open source designs 5
  • 6. Information Classification: General Computer Architecture Curriculum at UPC and RISC-V Related projects at BSC 6 Computer Science and Engineering Undergraduate Program MSc in Innovation and Research in Informatics Computer Architecture Computer Architecture 2 Computer Eng. Project High Perf. Computer Arch Processor Architecture Processor Design MSc in Computer Science and Engineering
  • 7. Information Classification: General Processor Design • Advanced Graduate Course, optional subject within the High Performance Computing specialisation of the Master’s in Innovation and Research in Informatics (MIRI) at UPC • Project-oriented course with a semester-wide RTL project • Implementation of an advanced feature within the selected baseline processor • Complete freedom of choice of baseline processor, HDL, tools, project • Keeps students motivated • Promotes creativity • Groups of 2 or more students to implement larger projects • Weekly follow-ups and regular deliverables • Covers the entire design cycle from Specification to Verification • Optional features with bonus grades: area/delay/power overheads analysis/trade-offs, physical design, documentation improvement 7
  • 8. Information Classification: General Processor Design Fall 2019 Projects Overview 8 7 RISC-V based projects • 5 CPU projects • 2 accelerators • 2 based on BSC’s Lagarto • In-order core refactoring for the tape-out, vector instructions • 3 based on Western Digital’s SweRV • Support for WCET computation, dual and triple lock-step designs • 1 Ariane • ECC • 1 Rocket • Cryptographic Accelerator Details and source code links: Kosmidis et al, Processor Design Fall 2019: Critical and Real-Time Systems Projects, Tech Report UPC-DAC-RR-CAP-2020-1, https://www.ac.upc.edu/app/research-reports/public/html/research_center_index-CAP-2020,en.html
  • 9. Information Classification: General Dual and Triple lockstep Based on SweRV EH1 9 Common solution implemented in safety critical domains to protect against errors General idea: two or three independent hardware instances execute the same code with small time difference Same inputs, output comparison every cycle • Dual-lockstep can only detect an error • Typically used in the automotive sector (fail-safe approach) • Triple lockstep can also correct an error • Used in avionics (fail-operational approach) The implementation can be: • fine-grained: check internal signals, e.g. at instruction commit • coarse-grained: check only core outputs, typically implemented in avionics comparing the output of different chips The time difference offers diverse redundancy, in order to avoid transient faults EH1 already contains ECC for cache and TCM protection
  • 10. Information Classification: General Dual and Triple lockstep Based on SweRV EH1 10 Two different implementations with different trade-offs Team Members: Jeremy Giesen, Adrian Munera Team Members: Marie Denoo, Jean-Paul Tarot Dual and Triple lockstep designs Complete core replication, including caches and AXI-lite buses Area and delay overhead analysis using the FPGA port of EH1 Dual-lockstep More efficient implementation The cores are connected in the same AXI- lite buses Extensive verification using Cocotb Replicated components
  • 11. Information Classification: General WCET Support Based on SweRV EH1 11 Added support for Measurement-Based Probabilistic Timing Analysis (MBPTA) [1] Minor modifications required in the design [2][3] • Time-Randomisation in the hard to predict and frequently used hardware resources • cache, using random placement [4] and replacement • Worst-case upper-bounding of resources with small variability and less frequently used • divider, fixed latency to its maximum, 34 cycles • Pseudo-Random Number Generator Team Members: Javier Barrera, Iván Rodríguez [1] Cucu et al, Measurement-Based Probabilistic Timing Analysis for Multi-path Programs, ECRTS 2012 [2] Kosmidis et al, Fitting processor architectures for measurement-based probabilistic timing analysis, Microprocessors & Microsystems 2016 [3] Kosmidis, Enabling Caches in Probabilistic Timing Analysis, PhD Thesis 2017 [4] Kosmidis et al, A cache design for probabilistically analysable real-time systems, DATE 2013 Cache bank0 Cache bank1 Cache bank2 Cache bank3 Cache bank4 Cache bank5 Cache bank6 Cache bank7
  • 12. Information Classification: General Lagarto In-order BSC’s RISC-V Core Core improvements for the next tape out 12 Baseline (preDRAC): First open-source core developed in Spain 5-stages in-order core • Branch Predictor • Boots Linux on FPGA, passes official RISC-V ISA tests • First tape out in May 2019, TSMC 65nm, 2.5mm2 • 200MHz frequency Team Members: Guillem Cabo, Ruben Langarita, Guillem Lopez Project improvements: • Migrate the design to System Verilog • Maintain the performance, fix some known bugs • Refactored the core dividing it in Control Unit and Datapath • Decouple the access to ICache and DCache • Improved Verification • Continuous integration • Update the ISA to the latest one Lagarto to be open sourced soon by BSC including these improvements
  • 13. Information Classification: General Lagarto In-order BSC’s RISC-V Core Vector extensions 13 Add RISC-V vector extensions to Lagarto • Based on the Working Draft Specification of Nov. 2019 • Subset of the specification implemented • Integer and Bitwise operations • Vector-vector • Vector-register • Vector-immediate • Loads • Parameterizable VBW data bus • Parameterizable VLEN size • Out-of-Order issue • Single in-flight instruction Team Members: Fabio Banchelli, Kilian Peiro
  • 14. Information Classification: General Rocket RSA Montgomery Accelerator 14 Take advantage of the RoCC interface • Implementation of both hardware accelerator and software stack RSA implementation based on Montgomery Exponentiation and Multiplication Encryption and decryption of 128-bit blocks Verification based on Verilator and Cocotb • Used arbitrary arithmetic in Python interfaced with the C++ code of Verilator Docker image available Team Members: Ruben Cano, Juan Miguel de Haro
  • 15. Information Classification: General Ariane ECC Memory protection 15 Two solutions implemented • Error detection based on parity • 1 parity bit per 64 bits • Low hardware overhead • Can only detect an odd number of single event upsets (SEUs) • Error correction based on triple redundancy • Very high cost • Can correct up to 64 bit errors Team Members: Wout Klingele, Killian Storm
  • 16. Information Classification: General Processor Design Fall 2020 Projects Overview 16 9 RISC-V based projects: • 5 CPU projects, 4 accelerators • BSC Lagarto-based: Out-of-order core improvements for the tape-out, integration with EPI’s Vector Accelerator • Western Digital’s SweRV EL2 based: Support for WCET computation and time-predictable multi-threading • Custom RISC-V implementation Implemented in the Processor Architecture 2019: Hard real-time multi-threading • Noel-V/LEON3: Custom vector extensions and timing predictability • Ariane-based: Modifications for Timing predictability • 2 GPU projects based on Vortex • WCET oriented and fault-tolerant design, custom GPU design compatible with Vortex • Custom Binarised Neural Network Accelerator • Using ESA’s TASTE Model-Based Design Framework for the software stack • Custom Google’s TPU-like Accelerator Details and source code links to be published after the end of the course
  • 17. Information Classification: General Acknowledgements My colleagues: Miquel Moretó (BSC/UPC), Ramon Canal (UPC), Jose Maria Arnau (UPC), Roger Espasa (UPC/Semidynamics) My students: • Class 2019: Fabio Banchelli, Javier Barrera, Guillem Cabo, Ruben Cano, Marie Denoo, Jeremy Giesen, Juan Miguel de Haro, Wout Klingele, Ruben Langarita, Guillem Lopez, Adrian Munera, Kilian Peiro, Ivan Rodríguez, Killian Storm, Jean-Paul Tarot • Class 2020: Marco Aguado, David Alvarez, Max Doblas, Mehdi Hassanpour, Victor Jimenez, Alvaro Jover, Julien Labarre, Cristina Peralta, Mario Rodriguez, Joel, Sanchez, Sergio Sanchez, Marc Sole, Victor Soria, Jannis Wolf My Funding Agencies: Spanish Ministry of Science and Innovation (MINECO) grants PID2019-107255GB and FJCI-2017-34095, GPU4S (GPU for Space) ESA ITT AO/1-9010/17/NL/AF, HiPEAC Network of Excellence and of course The RISC-V Foundation and all its Open Source Ecosystem 17
  • 18. Information Classification: General December 8-10 | Virtual Event Thank you for joining us. Contribute to the RISC-V conversation on social! #RISCVSUMMIT @risc_v