SlideShare a Scribd company logo
1 of 19
Download to read offline
Qualifying a high performance Memory subsystem
for Functional Safety
Pankaj Singh
CDNLive Bangalore
August 29, 2019
2 © 2019 Cadence Design Systems, Inc. All rights reserved.
Safety Verification Challenges
• Functional Safety (FuSa) sometimes starts late and may not be an integral part of the development
cycle.
• Verification environment/testcases development takes effort for FuSA
• Manual approach of Safety architecture/requirement analysis is iterative process and prone to error.
• Significant Scaling of Simulation and Analysis Is Required to Meet Regulatory Standards
• Fault Simulation tool flow needs to integrated/automated to run regression
• Gaps in Safety Verification of Analog design6
1
4
3
2
5
3 © 2019 Cadence Design Systems, Inc. All rights reserved.
Reuse of Functional Testbench/Testcases for Functional Safety
Simulations
Early start of FuSa along with Systematic verification
Reuse of verification environment/parametrized testcasesReuse of verification environment/parametrized testcases
1
2
PVPL: Product Verification Plan
PVS: Product Verification Spec
FuSs: Functional Safety
4 © 2019 Cadence Design Systems, Inc. All rights reserved.
LPDD4 FMEDA Details
• Initial focus was to target modules with higher FIT rate .
• Full Regression at Early stage gives good picture on Diagnostic Coverage.
• Limitations:
• No integration with design date for accuracy of details. The result update to FMEDA sheet is time
consuming and prone to manual error.
FMEDA: Failure Mode, Effects and Diagnostic Analysis
FIT: Failure in Time
5 © 2019 Cadence Design Systems, Inc. All rights reserved.
vManager Safety Planner App – High Level FM’s
Generate Report
Filter Options
✓ Web enabled Safety Planner App can overcome limitation of manual error and also
improve accuracy due to design data input .
✓ Supports FMEDA Analysis/update to define the safety architecture (SM) and
safety requirements.
✓ Supports Verification of the FMEDA by means of fault injection and formal analysis
3
6 © 2019 Cadence Design Systems, Inc. All rights reserved.
Significant Scaling of Simulation and Analysis Is Required to Meet
Regulatory Standards
Functional
Simulations
Fault Injection Simulations
SPFM LFM
ASIL B ≥ 90 % ≥ 60 %
ASIL C ≥ 97 % ≥ 80 %
ASIL D ≥ 99 % ≥ 90 %
TARGET
Jasper FSV fault analysis/optimization
FST step removes untestable faults
ASIL: Automotive Safety Integrity Level
7 © 2019 Cadence Design Systems, Inc. All rights reserved.
FSV Structural Analysis Techniques
• Out-of-COI Analysis
• A fault node outside the Cone-of-Influence
(COI) has no physical connection to the
functional strobe(s)
• Fault is Untestable (Safe)!
• Activatable Analysis
• A SA0/1 fault injected on a node which
is constant 0/1 cannot be activated
• Fault is Unactivatable (Safe)!
• Propagatable Analysis
• A fault that is activated and in COI, but
cannot be observed on the functional strobe
• Fault is Unpropagatable (Safe)!
Strobe
OOCOI
Strobe
Barrier
Unprop
Unact
Const
Dangerous Fault
Safe Fault
8 © 2019 Cadence Design Systems, Inc. All rights reserved.
Fault Analysis and Optimization
Functional Safety Verification Timeline
Optimized Fault list
• FSV Analysis Optimization:
✓ Cone-Of-Influence of
Outfunctional strobes
✓ Unactivatable due to constants
✓ Unactivatable due to design
✓ Unpropagatable to functional strobes
Controller:
Fault targets – 138K
total →
Optimized to 58,504
PHY:
Fault targets – 241K
total→
Optimized to 73,835
4
• Other Techniques based on
design knowledge/Analysis:
✓ Duplicated instances removal
✓ Bus reduction: If Some bits with
same fault type (SA0/SA1) are
covered, other bits could be waived
✓ Bist & Debug mode related
functionality
✓ Redundant Logic removal
9 © 2019 Cadence Design Systems, Inc. All rights reserved.
Testbenh
(SystemVerilog, ‘e’,
SystemC, etc)
Fault List/Control
File
Fault Machine
Simulation
Elaboration
(Instrumenting)
Fault Campaign
Data
Fault Campaign Resutls
(Detected, undetected,
partially detected)
Fault report
generation (Total
detected,
undetected, etc.)
Good Machine data
and fault set
Design
(Verilog, VHDL,
SVD)
Good Machine
Simulation
Fault Machine
SimulationFault Machine
Simulation (1…N)
Fault commands
and control
LPDDR4 Statistical Fault Regression Flow
Stastical
Fault
simulation
DDRTestCases
T
1
T
n
Representative
Faults
FMEDAEstimates
FaultSimulation
ANALYSIS&Actions
Safety
Verification
Report
• #1 Compile and elaborate
• Specify the target area for fault
injection
• #2 Execute a good simulation
• Specify strobe information to generate
the good machine data
• #3 Execute N fault runs
• N is number of nodes in the fault list
• #4 Generate a report from the fault
campaign
• Merge all fault runs in a single,
cumulative report
5
vManager Safety Client (mdv/AGILE/18.03.001)
Xcelium Simulator (xcelium/AGILE/18.03.001)
™
™
10 © 2019 Cadence Design Systems, Inc. All rights reserved.
Working Effort – Burning of NC &DU Faults
• NC does not mean SAFE !
• If functionality is not active, fault would not impact F-O
• Fault on target must be propagated to functional output as much as possible
• DU does not mean DANGEROUS !
• Fault simulation time == Good simulation time
• Timeout threshold is too large to count up over threshold
• Duration of good sim + 20% margin
NC
DU
Develop test to
cover function DU
Set Proper Checker
DD
Set Proper Checker
Analysis fault
Develop test and
enable proper
checker function
Run regression
& collect DD list
Update waiver
list according to
fault reduce rule
DC < 99 %
Safety verification
Functional coverage + Code coverage +
Diagnostic coverage
Record DD list to reduce fault
simulation run number
DD: Dangerous Detected
DU: Dangerous Undetected
NC: No Convergence
11 © 2019 Cadence Design Systems, Inc. All rights reserved.
Sample Fault Grade Report
12 © 2019 Cadence Design Systems, Inc. All rights reserved.
Safety Verification of Analog Modules
• Analog Fault injection for LPDDR4: Not done.
netlist
test
Defect /
Fault list
Coverage
summary
Defect / Fault
status
Pass/Fail
results These are the three
deliverables specified
by the standard
Simulate test on original
circuit
Enumerate defect & fault list
and weights
Simulate test on
defective/faulty circuits
Report coverage
netlist2
netlistm
netlist1
P2427: Standard for Analog Defect Modelling & Coverage
P2427 specifies Analog defects and coverage
Gap
6
Reference[1]
13 © 2019 Cadence Design Systems, Inc. All rights reserved.
Category Location Degree Root causes
DC short
Same layer
Low resistance Dust
High resistance Stringer
Between
layers
Low resistance Missing oxide
DC open Resistive High resistance Partial contact
Complete Infinite resistance Missing contact
Missing geometry
AC coupling Same layer >2X design value OPC distortion
Between
layers
>2X design value Oxide thinning
Leakage PN junction ESD
Dust
Gate oxide Local weakness in
thin oxide layer
Stuck-on Undercut gate
ESD
Stuck-off Thick oxide
Extreme
variation
> Specified
DF_PDK (Deviation
Factor) to
specification or
process corner
Mouse bite
Blob
The standard does not mandate a particular
defect model to be used, but it does mandate the
model description to be part of coverage report
Defects Commonly Observed in Silicon
and Targeted by Manufacturing Tests
dg_short
gs_short
ds_short
d_open
g_open
Defect
Identification
Defect
Identification
Defect
Defect
Identification
Defect
Simulation
Coverage
Defect
Identification
Defect
Simulation
Coverage
Analysis
Defect
Simulation
Coverage
Analysis
Legato™ platform Environment developed in alignment with IEEE P2427
Reference[2]
Analog Defect Modelling
14 © 2019 Cadence Design Systems, Inc. All rights reserved.
– Schematic netlists (no layouts)
– Process files: typical, SS, SF,
FS, FF
– Specifications, with limits, only
for 3.3 volt operation at 27C
OPAMP• 77 Hard defects (after collapsing)
– 43 shorts : 3 per MOS transistor, 1 per diode,
C, R (JFET)
– 34 opens: 2 per MOS transistor, 1 per diode,
C, R (JFET)
• Defect Models. Short: 200 Ω. Open: 1 GΩ
Defect
type
Defect
Model
Detected
defects #
Undetectab
le Defects #
Undetected
Defects #
Detectable
Coverage (%)
Weightin
g
algorithm
Corner(
s)
Test
conditions
Short 200 Ω 34 0 9 81 None Fast/Slo
w
Input: 1 MHz
0.5V sine, 1.65 V
bias, via 10 kOpen 1 GΩ 17 0 17 50 none Fast/Slo
w
Coverage Report
Note: Soft and parametric defect injection is not supported yet in the official release
Reference[2]
Example: Op-Amp From ITC’17 Benchmarks
15 © 2019 Cadence Design Systems, Inc. All rights reserved.
LPPDDR4 Safety Verification Summary
✓ Avoid traditional approach of starting functional safety after functional
verification : Iterative and expensive development phase
✓ Functional Safety Need to be Architected and not added later.
✓ Safety Analysis must start prior to implementation. ‘Design for safety/verification’
✓ Reuse & Synergize : Nominal and Functional Safety Verification.
✓ Fault optimization with formal and other techniques is necessary to overcome
challenges with scaling simulation and analysis.
✓ Integrated push button fault simulation flow is need of hour and saves verification
engineers time.
✓ Analog defect modelling and coverage can be performed based on IEEE P2427.
IP
REQ
Customer REQ
App Assumption
FuSA
Concept
FMEA FMEDA
Functional Verification (Systematic)
Digital
P
V
P
L
P
V
S
Design
Update
(Safety
Mech)
✓Architecture→
✓Product→
✓Design
✓FailureModes
✓ASIL REQ
✓FailureMode
→ Safety
Mechanism
✓DC(ASIL)
✓PVPL:Product
Verif. Plan
✓Verifiability
✓Verification
Assignment.
✓Verification
Domain
✓PVS: Product
Verif. Spec
✓Verif Strategy
Safety (Random) Verification: Formal/Dynamic
✓Qualitative
Verification
(Fault
injection) of
Safety
Mechanism
✓Functional
Verification
(Systematic)
Closure
Safety
Metric
Verification
Report
✓Statistical
(Fault
injection)
Verification
✓Analysis
SAFETY ANALYSIS/ Fault Optimization
Analog
DESIGN
Fault
Campaign
Mgmt
1
2
3
46
5
16 © 2019 Cadence Design Systems, Inc. All rights reserved.
Safety-Compliance IP : Requirement for Automotive Application
ASIL-D/C
ASIL-D/C
ASIL-D/C
®
ISO 26262
Functional Safety Is Critical to the Success of Autonomous Vehicles Being Designed Today
17 © 2019 Cadence Design Systems, Inc. All rights reserved.
Acknowledgements
DDR IP Safety Team
– Mingyang Zhu
– YJ Patil
– James Yang
– Siva Prasad
– Pranesh M
– Tony Vu
– Tobing Soebroto
Guidance on Automotive Safety
Standards/tools/flow
– Mangesh Pande-Safety Verification
tools/flow
– Pradeep Bagavathiappan –Jasper
– Amit Bajaj: P2427
– Brian Taylor: ISO26262
Helping Me Tell Our Story
– Thomas Wong
18 © 2019 Cadence Design Systems, Inc. All rights reserved.
References
1. Using IEEE P2427 to measure the coverage of analog tests. European Test Symposium (ETS) May 2019, Baden Baden,
Germany, Vladimir Zivkovic (Cadence), Jeff Rearick(AMD)
2. Legato™ Reliability Solution ADE/Spectre Fault Simulation. Cadence Customer Presentation. Walter Hartong , Jianhe Guo
3. Functional Safety Workshop. Stefano Lorenzini, Mangesh Pande, Joerg Mueller
Thank you
© 2019 Cadence Design Systems, Inc. All rights reserved worldwide. Cadence, the Cadence logo, and the other Cadence marks found at www.cadence.com/go/trademarks are trademarks or registered trademarks of
Cadence Design Systems, Inc. All other trademarks are the property of their respective owners.

More Related Content

What's hot

What's New in ANSYS RedHawk 2014
What's New in ANSYS RedHawk 2014What's New in ANSYS RedHawk 2014
What's New in ANSYS RedHawk 2014Ansys
 
System On Chip (SOC)
System On Chip (SOC)System On Chip (SOC)
System On Chip (SOC)Shivam Gupta
 
Memory ECC - The Comprehensive of SEC-DED.
Memory ECC - The Comprehensive of SEC-DED. Memory ECC - The Comprehensive of SEC-DED.
Memory ECC - The Comprehensive of SEC-DED. Sk Cheah
 
Soc architecture and design
Soc architecture and designSoc architecture and design
Soc architecture and designSatya Harish
 
Deterministic Test Pattern Generation ( D-Algorithm of ATPG) (Testing of VLSI...
Deterministic Test Pattern Generation ( D-Algorithm of ATPG) (Testing of VLSI...Deterministic Test Pattern Generation ( D-Algorithm of ATPG) (Testing of VLSI...
Deterministic Test Pattern Generation ( D-Algorithm of ATPG) (Testing of VLSI...Usha Mehta
 
Tutorial getting started with RISC-V verification
Tutorial getting started with RISC-V verificationTutorial getting started with RISC-V verification
Tutorial getting started with RISC-V verificationRISC-V International
 
The Verification Methodology Landscape
The Verification Methodology LandscapeThe Verification Methodology Landscape
The Verification Methodology LandscapeDVClub
 
Design-for-Test (Testing of VLSI Design)
Design-for-Test (Testing of VLSI Design)Design-for-Test (Testing of VLSI Design)
Design-for-Test (Testing of VLSI Design)Usha Mehta
 
BUD17-209: Reliability, Availability, and Serviceability (RAS) on ARM64
BUD17-209: Reliability, Availability, and Serviceability (RAS) on ARM64 BUD17-209: Reliability, Availability, and Serviceability (RAS) on ARM64
BUD17-209: Reliability, Availability, and Serviceability (RAS) on ARM64 Linaro
 
Hardware description languages
Hardware description languagesHardware description languages
Hardware description languagesAkhila Rahul
 
MIPI DevCon 2021: MIPI I3C Application and Validation Models for IoT Sensor N...
MIPI DevCon 2021: MIPI I3C Application and Validation Models for IoT Sensor N...MIPI DevCon 2021: MIPI I3C Application and Validation Models for IoT Sensor N...
MIPI DevCon 2021: MIPI I3C Application and Validation Models for IoT Sensor N...MIPI Alliance
 
Level sensitive scan design(LSSD) and Boundry scan(BS)
Level sensitive scan design(LSSD) and Boundry scan(BS)Level sensitive scan design(LSSD) and Boundry scan(BS)
Level sensitive scan design(LSSD) and Boundry scan(BS)Praveen Kumar
 

What's hot (20)

ARM and SoC Traning Part I -- Overview
ARM and SoC Traning Part I -- OverviewARM and SoC Traning Part I -- Overview
ARM and SoC Traning Part I -- Overview
 
Lec13
Lec13Lec13
Lec13
 
SOC design
SOC design SOC design
SOC design
 
ASIC design verification
ASIC design verificationASIC design verification
ASIC design verification
 
What's New in ANSYS RedHawk 2014
What's New in ANSYS RedHawk 2014What's New in ANSYS RedHawk 2014
What's New in ANSYS RedHawk 2014
 
System On Chip (SOC)
System On Chip (SOC)System On Chip (SOC)
System On Chip (SOC)
 
Memory ECC - The Comprehensive of SEC-DED.
Memory ECC - The Comprehensive of SEC-DED. Memory ECC - The Comprehensive of SEC-DED.
Memory ECC - The Comprehensive of SEC-DED.
 
Scan insertion
Scan insertionScan insertion
Scan insertion
 
Improve Android System Component Performance
Improve Android System Component PerformanceImprove Android System Component Performance
Improve Android System Component Performance
 
Soc architecture and design
Soc architecture and designSoc architecture and design
Soc architecture and design
 
Deterministic Test Pattern Generation ( D-Algorithm of ATPG) (Testing of VLSI...
Deterministic Test Pattern Generation ( D-Algorithm of ATPG) (Testing of VLSI...Deterministic Test Pattern Generation ( D-Algorithm of ATPG) (Testing of VLSI...
Deterministic Test Pattern Generation ( D-Algorithm of ATPG) (Testing of VLSI...
 
Tutorial getting started with RISC-V verification
Tutorial getting started with RISC-V verificationTutorial getting started with RISC-V verification
Tutorial getting started with RISC-V verification
 
testing
testingtesting
testing
 
The Verification Methodology Landscape
The Verification Methodology LandscapeThe Verification Methodology Landscape
The Verification Methodology Landscape
 
Design-for-Test (Testing of VLSI Design)
Design-for-Test (Testing of VLSI Design)Design-for-Test (Testing of VLSI Design)
Design-for-Test (Testing of VLSI Design)
 
dft
dftdft
dft
 
BUD17-209: Reliability, Availability, and Serviceability (RAS) on ARM64
BUD17-209: Reliability, Availability, and Serviceability (RAS) on ARM64 BUD17-209: Reliability, Availability, and Serviceability (RAS) on ARM64
BUD17-209: Reliability, Availability, and Serviceability (RAS) on ARM64
 
Hardware description languages
Hardware description languagesHardware description languages
Hardware description languages
 
MIPI DevCon 2021: MIPI I3C Application and Validation Models for IoT Sensor N...
MIPI DevCon 2021: MIPI I3C Application and Validation Models for IoT Sensor N...MIPI DevCon 2021: MIPI I3C Application and Validation Models for IoT Sensor N...
MIPI DevCon 2021: MIPI I3C Application and Validation Models for IoT Sensor N...
 
Level sensitive scan design(LSSD) and Boundry scan(BS)
Level sensitive scan design(LSSD) and Boundry scan(BS)Level sensitive scan design(LSSD) and Boundry scan(BS)
Level sensitive scan design(LSSD) and Boundry scan(BS)
 

Similar to Qualifying a high performance memory subsysten for Functional Safety

Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...
Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...
Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...IRJET Journal
 
Improvement in Error Resilience in BIST using hamming code
Improvement in Error Resilience in BIST using hamming codeImprovement in Error Resilience in BIST using hamming code
Improvement in Error Resilience in BIST using hamming codeIJMTST Journal
 
Schneider Electric Scada Global Support Provides Troubleshooting and Technica...
Schneider Electric Scada Global Support Provides Troubleshooting and Technica...Schneider Electric Scada Global Support Provides Troubleshooting and Technica...
Schneider Electric Scada Global Support Provides Troubleshooting and Technica...Preeya Selvarajah
 
"Can We Have Both Safety and Performance in AI for Autonomous Vehicles?," a P...
"Can We Have Both Safety and Performance in AI for Autonomous Vehicles?," a P..."Can We Have Both Safety and Performance in AI for Autonomous Vehicles?," a P...
"Can We Have Both Safety and Performance in AI for Autonomous Vehicles?," a P...Edge AI and Vision Alliance
 
Safety Verification and Software aspects of Automotive SoC
Safety Verification and Software aspects of Automotive SoCSafety Verification and Software aspects of Automotive SoC
Safety Verification and Software aspects of Automotive SoCPankaj Singh
 
Design for testability and automatic test pattern generation
Design for testability and automatic test pattern generationDesign for testability and automatic test pattern generation
Design for testability and automatic test pattern generationDilip Mathuria
 
Automating The Process For Building Reliable Software
Automating The Process For Building Reliable SoftwareAutomating The Process For Building Reliable Software
Automating The Process For Building Reliable Softwareguest8861ff
 
Agilent x1149 Boundary Scan Analyzer
Agilent x1149 Boundary Scan AnalyzerAgilent x1149 Boundary Scan Analyzer
Agilent x1149 Boundary Scan AnalyzerInterlatin
 
Application of theorem proving for safety-critical vehicle software
Application of theorem proving for safety-critical vehicle softwareApplication of theorem proving for safety-critical vehicle software
Application of theorem proving for safety-critical vehicle softwareAdaCore
 
Arizona State University Test Lecture
Arizona State University Test LectureArizona State University Test Lecture
Arizona State University Test LecturePete Sarson, PH.D
 
Fault Tolerance System
Fault Tolerance SystemFault Tolerance System
Fault Tolerance Systemprakashjjaya
 
Proving the Security of Low-Level Software Components & TEEs
Proving the Security of Low-Level Software Components & TEEsProving the Security of Low-Level Software Components & TEEs
Proving the Security of Low-Level Software Components & TEEsAshley Zupkus
 
Zero-bug Software, Mathematically Guaranteed
Zero-bug Software, Mathematically GuaranteedZero-bug Software, Mathematically Guaranteed
Zero-bug Software, Mathematically GuaranteedAshley Zupkus
 
IRJET- Design of Fault Injection Technique for Digital HDL Models
IRJET-  	  Design of Fault Injection Technique for Digital HDL ModelsIRJET-  	  Design of Fault Injection Technique for Digital HDL Models
IRJET- Design of Fault Injection Technique for Digital HDL ModelsIRJET Journal
 
IRJET- Design and Implementation of High Speed FPGA Configuration using SBI
IRJET- Design and Implementation of High Speed FPGA Configuration using SBIIRJET- Design and Implementation of High Speed FPGA Configuration using SBI
IRJET- Design and Implementation of High Speed FPGA Configuration using SBIIRJET Journal
 
SE2018_Lec 19_ Software Testing
SE2018_Lec 19_ Software TestingSE2018_Lec 19_ Software Testing
SE2018_Lec 19_ Software TestingAmr E. Mohamed
 

Similar to Qualifying a high performance memory subsysten for Functional Safety (20)

VLSI testing and analysis
VLSI testing and analysisVLSI testing and analysis
VLSI testing and analysis
 
Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...
Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...
Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...
 
Improvement in Error Resilience in BIST using hamming code
Improvement in Error Resilience in BIST using hamming codeImprovement in Error Resilience in BIST using hamming code
Improvement in Error Resilience in BIST using hamming code
 
Schneider Electric Scada Global Support Provides Troubleshooting and Technica...
Schneider Electric Scada Global Support Provides Troubleshooting and Technica...Schneider Electric Scada Global Support Provides Troubleshooting and Technica...
Schneider Electric Scada Global Support Provides Troubleshooting and Technica...
 
Vlsi testing
Vlsi testingVlsi testing
Vlsi testing
 
Soc.pptx
Soc.pptxSoc.pptx
Soc.pptx
 
"Can We Have Both Safety and Performance in AI for Autonomous Vehicles?," a P...
"Can We Have Both Safety and Performance in AI for Autonomous Vehicles?," a P..."Can We Have Both Safety and Performance in AI for Autonomous Vehicles?," a P...
"Can We Have Both Safety and Performance in AI for Autonomous Vehicles?," a P...
 
Safety Verification and Software aspects of Automotive SoC
Safety Verification and Software aspects of Automotive SoCSafety Verification and Software aspects of Automotive SoC
Safety Verification and Software aspects of Automotive SoC
 
1030 iordanescu
1030 iordanescu1030 iordanescu
1030 iordanescu
 
Design for testability and automatic test pattern generation
Design for testability and automatic test pattern generationDesign for testability and automatic test pattern generation
Design for testability and automatic test pattern generation
 
Automating The Process For Building Reliable Software
Automating The Process For Building Reliable SoftwareAutomating The Process For Building Reliable Software
Automating The Process For Building Reliable Software
 
Agilent x1149 Boundary Scan Analyzer
Agilent x1149 Boundary Scan AnalyzerAgilent x1149 Boundary Scan Analyzer
Agilent x1149 Boundary Scan Analyzer
 
Application of theorem proving for safety-critical vehicle software
Application of theorem proving for safety-critical vehicle softwareApplication of theorem proving for safety-critical vehicle software
Application of theorem proving for safety-critical vehicle software
 
Arizona State University Test Lecture
Arizona State University Test LectureArizona State University Test Lecture
Arizona State University Test Lecture
 
Fault Tolerance System
Fault Tolerance SystemFault Tolerance System
Fault Tolerance System
 
Proving the Security of Low-Level Software Components & TEEs
Proving the Security of Low-Level Software Components & TEEsProving the Security of Low-Level Software Components & TEEs
Proving the Security of Low-Level Software Components & TEEs
 
Zero-bug Software, Mathematically Guaranteed
Zero-bug Software, Mathematically GuaranteedZero-bug Software, Mathematically Guaranteed
Zero-bug Software, Mathematically Guaranteed
 
IRJET- Design of Fault Injection Technique for Digital HDL Models
IRJET-  	  Design of Fault Injection Technique for Digital HDL ModelsIRJET-  	  Design of Fault Injection Technique for Digital HDL Models
IRJET- Design of Fault Injection Technique for Digital HDL Models
 
IRJET- Design and Implementation of High Speed FPGA Configuration using SBI
IRJET- Design and Implementation of High Speed FPGA Configuration using SBIIRJET- Design and Implementation of High Speed FPGA Configuration using SBI
IRJET- Design and Implementation of High Speed FPGA Configuration using SBI
 
SE2018_Lec 19_ Software Testing
SE2018_Lec 19_ Software TestingSE2018_Lec 19_ Software Testing
SE2018_Lec 19_ Software Testing
 

More from Pankaj Singh

Unified methodology for effective correlation of soc power
Unified methodology for effective correlation of soc powerUnified methodology for effective correlation of soc power
Unified methodology for effective correlation of soc powerPankaj Singh
 
Managing securityforautomotivesoc
Managing securityforautomotivesocManaging securityforautomotivesoc
Managing securityforautomotivesocPankaj Singh
 
Panel:The secret of Indian leadership in Electronic Design skill... From Desi...
Panel:The secret of Indian leadership in Electronic Design skill... From Desi...Panel:The secret of Indian leadership in Electronic Design skill... From Desi...
Panel:The secret of Indian leadership in Electronic Design skill... From Desi...Pankaj Singh
 
OVERCOMING KEY CHALLENGES OF TODAY'S COMPLEX SOC: PERFORMANCE OPTIMIZATION AN...
OVERCOMING KEY CHALLENGES OF TODAY'S COMPLEX SOC: PERFORMANCE OPTIMIZATION AN...OVERCOMING KEY CHALLENGES OF TODAY'S COMPLEX SOC: PERFORMANCE OPTIMIZATION AN...
OVERCOMING KEY CHALLENGES OF TODAY'S COMPLEX SOC: PERFORMANCE OPTIMIZATION AN...Pankaj Singh
 
AMD_11th_Intl_SoC_Conf_UCI_Irvine
AMD_11th_Intl_SoC_Conf_UCI_IrvineAMD_11th_Intl_SoC_Conf_UCI_Irvine
AMD_11th_Intl_SoC_Conf_UCI_IrvinePankaj Singh
 
Power Optimization with Efficient Test Logic Partitioning for Full Chip Design
Power Optimization with Efficient Test Logic Partitioning for Full Chip DesignPower Optimization with Efficient Test Logic Partitioning for Full Chip Design
Power Optimization with Efficient Test Logic Partitioning for Full Chip DesignPankaj Singh
 
FUSION APU & TRENDS/ CHALLENGES IN FUTURE SoC DESIGN
FUSION APU & TRENDS/ CHALLENGES IN FUTURE SoC DESIGNFUSION APU & TRENDS/ CHALLENGES IN FUTURE SoC DESIGN
FUSION APU & TRENDS/ CHALLENGES IN FUTURE SoC DESIGNPankaj Singh
 

More from Pankaj Singh (8)

Unified methodology for effective correlation of soc power
Unified methodology for effective correlation of soc powerUnified methodology for effective correlation of soc power
Unified methodology for effective correlation of soc power
 
Thesis
ThesisThesis
Thesis
 
Managing securityforautomotivesoc
Managing securityforautomotivesocManaging securityforautomotivesoc
Managing securityforautomotivesoc
 
Panel:The secret of Indian leadership in Electronic Design skill... From Desi...
Panel:The secret of Indian leadership in Electronic Design skill... From Desi...Panel:The secret of Indian leadership in Electronic Design skill... From Desi...
Panel:The secret of Indian leadership in Electronic Design skill... From Desi...
 
OVERCOMING KEY CHALLENGES OF TODAY'S COMPLEX SOC: PERFORMANCE OPTIMIZATION AN...
OVERCOMING KEY CHALLENGES OF TODAY'S COMPLEX SOC: PERFORMANCE OPTIMIZATION AN...OVERCOMING KEY CHALLENGES OF TODAY'S COMPLEX SOC: PERFORMANCE OPTIMIZATION AN...
OVERCOMING KEY CHALLENGES OF TODAY'S COMPLEX SOC: PERFORMANCE OPTIMIZATION AN...
 
AMD_11th_Intl_SoC_Conf_UCI_Irvine
AMD_11th_Intl_SoC_Conf_UCI_IrvineAMD_11th_Intl_SoC_Conf_UCI_Irvine
AMD_11th_Intl_SoC_Conf_UCI_Irvine
 
Power Optimization with Efficient Test Logic Partitioning for Full Chip Design
Power Optimization with Efficient Test Logic Partitioning for Full Chip DesignPower Optimization with Efficient Test Logic Partitioning for Full Chip Design
Power Optimization with Efficient Test Logic Partitioning for Full Chip Design
 
FUSION APU & TRENDS/ CHALLENGES IN FUTURE SoC DESIGN
FUSION APU & TRENDS/ CHALLENGES IN FUTURE SoC DESIGNFUSION APU & TRENDS/ CHALLENGES IN FUTURE SoC DESIGN
FUSION APU & TRENDS/ CHALLENGES IN FUTURE SoC DESIGN
 

Recently uploaded

ALCOHOL PRODUCTION- Beer Brewing Process.pdf
ALCOHOL PRODUCTION- Beer Brewing Process.pdfALCOHOL PRODUCTION- Beer Brewing Process.pdf
ALCOHOL PRODUCTION- Beer Brewing Process.pdfMadan Karki
 
Instruct Nirmaana 24-Smart and Lean Construction Through Technology.pdf
Instruct Nirmaana 24-Smart and Lean Construction Through Technology.pdfInstruct Nirmaana 24-Smart and Lean Construction Through Technology.pdf
Instruct Nirmaana 24-Smart and Lean Construction Through Technology.pdfEr.Sonali Nasikkar
 
How to Design and spec harmonic filter.pdf
How to Design and spec harmonic filter.pdfHow to Design and spec harmonic filter.pdf
How to Design and spec harmonic filter.pdftawat puangthong
 
Multivibrator and its types defination and usges.pptx
Multivibrator and its types defination and usges.pptxMultivibrator and its types defination and usges.pptx
Multivibrator and its types defination and usges.pptxalijaker017
 
Linux Systems Programming: Semaphores, Shared Memory, and Message Queues
Linux Systems Programming: Semaphores, Shared Memory, and Message QueuesLinux Systems Programming: Semaphores, Shared Memory, and Message Queues
Linux Systems Programming: Semaphores, Shared Memory, and Message QueuesRashidFaridChishti
 
The battle for RAG, explore the pros and cons of using KnowledgeGraphs and Ve...
The battle for RAG, explore the pros and cons of using KnowledgeGraphs and Ve...The battle for RAG, explore the pros and cons of using KnowledgeGraphs and Ve...
The battle for RAG, explore the pros and cons of using KnowledgeGraphs and Ve...Roi Lipman
 
Involute of a circle,Square, pentagon,HexagonInvolute_Engineering Drawing.pdf
Involute of a circle,Square, pentagon,HexagonInvolute_Engineering Drawing.pdfInvolute of a circle,Square, pentagon,HexagonInvolute_Engineering Drawing.pdf
Involute of a circle,Square, pentagon,HexagonInvolute_Engineering Drawing.pdfJNTUA
 
Introduction to Artificial Intelligence and History of AI
Introduction to Artificial Intelligence and History of AIIntroduction to Artificial Intelligence and History of AI
Introduction to Artificial Intelligence and History of AISheetal Jain
 
Theory for How to calculation capacitor bank
Theory for How to calculation capacitor bankTheory for How to calculation capacitor bank
Theory for How to calculation capacitor banktawat puangthong
 
Attraction and Repulsion type Moving Iron Instruments.pptx
Attraction and Repulsion type Moving Iron Instruments.pptxAttraction and Repulsion type Moving Iron Instruments.pptx
Attraction and Repulsion type Moving Iron Instruments.pptxkarthikeyanS725446
 
ANSI(ST)-III_Manufacturing-I_05052020.pdf
ANSI(ST)-III_Manufacturing-I_05052020.pdfANSI(ST)-III_Manufacturing-I_05052020.pdf
ANSI(ST)-III_Manufacturing-I_05052020.pdfBertinKamsipa1
 
Introduction to Heat Exchangers: Principle, Types and Applications
Introduction to Heat Exchangers: Principle, Types and ApplicationsIntroduction to Heat Exchangers: Principle, Types and Applications
Introduction to Heat Exchangers: Principle, Types and ApplicationsKineticEngineeringCo
 
Operating System chapter 9 (Virtual Memory)
Operating System chapter 9 (Virtual Memory)Operating System chapter 9 (Virtual Memory)
Operating System chapter 9 (Virtual Memory)NareenAsad
 
SLIDESHARE PPT-DECISION MAKING METHODS.pptx
SLIDESHARE PPT-DECISION MAKING METHODS.pptxSLIDESHARE PPT-DECISION MAKING METHODS.pptx
SLIDESHARE PPT-DECISION MAKING METHODS.pptxCHAIRMAN M
 
Artificial Intelligence Bayesian Reasoning
Artificial Intelligence Bayesian ReasoningArtificial Intelligence Bayesian Reasoning
Artificial Intelligence Bayesian Reasoninghotman30312
 
Electrostatic field in a coaxial transmission line
Electrostatic field in a coaxial transmission lineElectrostatic field in a coaxial transmission line
Electrostatic field in a coaxial transmission lineJulioCesarSalazarHer1
 
Electrical shop management system project report.pdf
Electrical shop management system project report.pdfElectrical shop management system project report.pdf
Electrical shop management system project report.pdfKamal Acharya
 
Software Engineering - Modelling Concepts + Class Modelling + Building the An...
Software Engineering - Modelling Concepts + Class Modelling + Building the An...Software Engineering - Modelling Concepts + Class Modelling + Building the An...
Software Engineering - Modelling Concepts + Class Modelling + Building the An...Prakhyath Rai
 
Lab Manual Arduino UNO Microcontrollar.docx
Lab Manual Arduino UNO Microcontrollar.docxLab Manual Arduino UNO Microcontrollar.docx
Lab Manual Arduino UNO Microcontrollar.docxRashidFaridChishti
 
Dairy management system project report..pdf
Dairy management system project report..pdfDairy management system project report..pdf
Dairy management system project report..pdfKamal Acharya
 

Recently uploaded (20)

ALCOHOL PRODUCTION- Beer Brewing Process.pdf
ALCOHOL PRODUCTION- Beer Brewing Process.pdfALCOHOL PRODUCTION- Beer Brewing Process.pdf
ALCOHOL PRODUCTION- Beer Brewing Process.pdf
 
Instruct Nirmaana 24-Smart and Lean Construction Through Technology.pdf
Instruct Nirmaana 24-Smart and Lean Construction Through Technology.pdfInstruct Nirmaana 24-Smart and Lean Construction Through Technology.pdf
Instruct Nirmaana 24-Smart and Lean Construction Through Technology.pdf
 
How to Design and spec harmonic filter.pdf
How to Design and spec harmonic filter.pdfHow to Design and spec harmonic filter.pdf
How to Design and spec harmonic filter.pdf
 
Multivibrator and its types defination and usges.pptx
Multivibrator and its types defination and usges.pptxMultivibrator and its types defination and usges.pptx
Multivibrator and its types defination and usges.pptx
 
Linux Systems Programming: Semaphores, Shared Memory, and Message Queues
Linux Systems Programming: Semaphores, Shared Memory, and Message QueuesLinux Systems Programming: Semaphores, Shared Memory, and Message Queues
Linux Systems Programming: Semaphores, Shared Memory, and Message Queues
 
The battle for RAG, explore the pros and cons of using KnowledgeGraphs and Ve...
The battle for RAG, explore the pros and cons of using KnowledgeGraphs and Ve...The battle for RAG, explore the pros and cons of using KnowledgeGraphs and Ve...
The battle for RAG, explore the pros and cons of using KnowledgeGraphs and Ve...
 
Involute of a circle,Square, pentagon,HexagonInvolute_Engineering Drawing.pdf
Involute of a circle,Square, pentagon,HexagonInvolute_Engineering Drawing.pdfInvolute of a circle,Square, pentagon,HexagonInvolute_Engineering Drawing.pdf
Involute of a circle,Square, pentagon,HexagonInvolute_Engineering Drawing.pdf
 
Introduction to Artificial Intelligence and History of AI
Introduction to Artificial Intelligence and History of AIIntroduction to Artificial Intelligence and History of AI
Introduction to Artificial Intelligence and History of AI
 
Theory for How to calculation capacitor bank
Theory for How to calculation capacitor bankTheory for How to calculation capacitor bank
Theory for How to calculation capacitor bank
 
Attraction and Repulsion type Moving Iron Instruments.pptx
Attraction and Repulsion type Moving Iron Instruments.pptxAttraction and Repulsion type Moving Iron Instruments.pptx
Attraction and Repulsion type Moving Iron Instruments.pptx
 
ANSI(ST)-III_Manufacturing-I_05052020.pdf
ANSI(ST)-III_Manufacturing-I_05052020.pdfANSI(ST)-III_Manufacturing-I_05052020.pdf
ANSI(ST)-III_Manufacturing-I_05052020.pdf
 
Introduction to Heat Exchangers: Principle, Types and Applications
Introduction to Heat Exchangers: Principle, Types and ApplicationsIntroduction to Heat Exchangers: Principle, Types and Applications
Introduction to Heat Exchangers: Principle, Types and Applications
 
Operating System chapter 9 (Virtual Memory)
Operating System chapter 9 (Virtual Memory)Operating System chapter 9 (Virtual Memory)
Operating System chapter 9 (Virtual Memory)
 
SLIDESHARE PPT-DECISION MAKING METHODS.pptx
SLIDESHARE PPT-DECISION MAKING METHODS.pptxSLIDESHARE PPT-DECISION MAKING METHODS.pptx
SLIDESHARE PPT-DECISION MAKING METHODS.pptx
 
Artificial Intelligence Bayesian Reasoning
Artificial Intelligence Bayesian ReasoningArtificial Intelligence Bayesian Reasoning
Artificial Intelligence Bayesian Reasoning
 
Electrostatic field in a coaxial transmission line
Electrostatic field in a coaxial transmission lineElectrostatic field in a coaxial transmission line
Electrostatic field in a coaxial transmission line
 
Electrical shop management system project report.pdf
Electrical shop management system project report.pdfElectrical shop management system project report.pdf
Electrical shop management system project report.pdf
 
Software Engineering - Modelling Concepts + Class Modelling + Building the An...
Software Engineering - Modelling Concepts + Class Modelling + Building the An...Software Engineering - Modelling Concepts + Class Modelling + Building the An...
Software Engineering - Modelling Concepts + Class Modelling + Building the An...
 
Lab Manual Arduino UNO Microcontrollar.docx
Lab Manual Arduino UNO Microcontrollar.docxLab Manual Arduino UNO Microcontrollar.docx
Lab Manual Arduino UNO Microcontrollar.docx
 
Dairy management system project report..pdf
Dairy management system project report..pdfDairy management system project report..pdf
Dairy management system project report..pdf
 

Qualifying a high performance memory subsysten for Functional Safety

  • 1. Qualifying a high performance Memory subsystem for Functional Safety Pankaj Singh CDNLive Bangalore August 29, 2019
  • 2. 2 © 2019 Cadence Design Systems, Inc. All rights reserved. Safety Verification Challenges • Functional Safety (FuSa) sometimes starts late and may not be an integral part of the development cycle. • Verification environment/testcases development takes effort for FuSA • Manual approach of Safety architecture/requirement analysis is iterative process and prone to error. • Significant Scaling of Simulation and Analysis Is Required to Meet Regulatory Standards • Fault Simulation tool flow needs to integrated/automated to run regression • Gaps in Safety Verification of Analog design6 1 4 3 2 5
  • 3. 3 © 2019 Cadence Design Systems, Inc. All rights reserved. Reuse of Functional Testbench/Testcases for Functional Safety Simulations Early start of FuSa along with Systematic verification Reuse of verification environment/parametrized testcasesReuse of verification environment/parametrized testcases 1 2 PVPL: Product Verification Plan PVS: Product Verification Spec FuSs: Functional Safety
  • 4. 4 © 2019 Cadence Design Systems, Inc. All rights reserved. LPDD4 FMEDA Details • Initial focus was to target modules with higher FIT rate . • Full Regression at Early stage gives good picture on Diagnostic Coverage. • Limitations: • No integration with design date for accuracy of details. The result update to FMEDA sheet is time consuming and prone to manual error. FMEDA: Failure Mode, Effects and Diagnostic Analysis FIT: Failure in Time
  • 5. 5 © 2019 Cadence Design Systems, Inc. All rights reserved. vManager Safety Planner App – High Level FM’s Generate Report Filter Options ✓ Web enabled Safety Planner App can overcome limitation of manual error and also improve accuracy due to design data input . ✓ Supports FMEDA Analysis/update to define the safety architecture (SM) and safety requirements. ✓ Supports Verification of the FMEDA by means of fault injection and formal analysis 3
  • 6. 6 © 2019 Cadence Design Systems, Inc. All rights reserved. Significant Scaling of Simulation and Analysis Is Required to Meet Regulatory Standards Functional Simulations Fault Injection Simulations SPFM LFM ASIL B ≥ 90 % ≥ 60 % ASIL C ≥ 97 % ≥ 80 % ASIL D ≥ 99 % ≥ 90 % TARGET Jasper FSV fault analysis/optimization FST step removes untestable faults ASIL: Automotive Safety Integrity Level
  • 7. 7 © 2019 Cadence Design Systems, Inc. All rights reserved. FSV Structural Analysis Techniques • Out-of-COI Analysis • A fault node outside the Cone-of-Influence (COI) has no physical connection to the functional strobe(s) • Fault is Untestable (Safe)! • Activatable Analysis • A SA0/1 fault injected on a node which is constant 0/1 cannot be activated • Fault is Unactivatable (Safe)! • Propagatable Analysis • A fault that is activated and in COI, but cannot be observed on the functional strobe • Fault is Unpropagatable (Safe)! Strobe OOCOI Strobe Barrier Unprop Unact Const Dangerous Fault Safe Fault
  • 8. 8 © 2019 Cadence Design Systems, Inc. All rights reserved. Fault Analysis and Optimization Functional Safety Verification Timeline Optimized Fault list • FSV Analysis Optimization: ✓ Cone-Of-Influence of Outfunctional strobes ✓ Unactivatable due to constants ✓ Unactivatable due to design ✓ Unpropagatable to functional strobes Controller: Fault targets – 138K total → Optimized to 58,504 PHY: Fault targets – 241K total→ Optimized to 73,835 4 • Other Techniques based on design knowledge/Analysis: ✓ Duplicated instances removal ✓ Bus reduction: If Some bits with same fault type (SA0/SA1) are covered, other bits could be waived ✓ Bist & Debug mode related functionality ✓ Redundant Logic removal
  • 9. 9 © 2019 Cadence Design Systems, Inc. All rights reserved. Testbenh (SystemVerilog, ‘e’, SystemC, etc) Fault List/Control File Fault Machine Simulation Elaboration (Instrumenting) Fault Campaign Data Fault Campaign Resutls (Detected, undetected, partially detected) Fault report generation (Total detected, undetected, etc.) Good Machine data and fault set Design (Verilog, VHDL, SVD) Good Machine Simulation Fault Machine SimulationFault Machine Simulation (1…N) Fault commands and control LPDDR4 Statistical Fault Regression Flow Stastical Fault simulation DDRTestCases T 1 T n Representative Faults FMEDAEstimates FaultSimulation ANALYSIS&Actions Safety Verification Report • #1 Compile and elaborate • Specify the target area for fault injection • #2 Execute a good simulation • Specify strobe information to generate the good machine data • #3 Execute N fault runs • N is number of nodes in the fault list • #4 Generate a report from the fault campaign • Merge all fault runs in a single, cumulative report 5 vManager Safety Client (mdv/AGILE/18.03.001) Xcelium Simulator (xcelium/AGILE/18.03.001) ™ ™
  • 10. 10 © 2019 Cadence Design Systems, Inc. All rights reserved. Working Effort – Burning of NC &DU Faults • NC does not mean SAFE ! • If functionality is not active, fault would not impact F-O • Fault on target must be propagated to functional output as much as possible • DU does not mean DANGEROUS ! • Fault simulation time == Good simulation time • Timeout threshold is too large to count up over threshold • Duration of good sim + 20% margin NC DU Develop test to cover function DU Set Proper Checker DD Set Proper Checker Analysis fault Develop test and enable proper checker function Run regression & collect DD list Update waiver list according to fault reduce rule DC < 99 % Safety verification Functional coverage + Code coverage + Diagnostic coverage Record DD list to reduce fault simulation run number DD: Dangerous Detected DU: Dangerous Undetected NC: No Convergence
  • 11. 11 © 2019 Cadence Design Systems, Inc. All rights reserved. Sample Fault Grade Report
  • 12. 12 © 2019 Cadence Design Systems, Inc. All rights reserved. Safety Verification of Analog Modules • Analog Fault injection for LPDDR4: Not done. netlist test Defect / Fault list Coverage summary Defect / Fault status Pass/Fail results These are the three deliverables specified by the standard Simulate test on original circuit Enumerate defect & fault list and weights Simulate test on defective/faulty circuits Report coverage netlist2 netlistm netlist1 P2427: Standard for Analog Defect Modelling & Coverage P2427 specifies Analog defects and coverage Gap 6 Reference[1]
  • 13. 13 © 2019 Cadence Design Systems, Inc. All rights reserved. Category Location Degree Root causes DC short Same layer Low resistance Dust High resistance Stringer Between layers Low resistance Missing oxide DC open Resistive High resistance Partial contact Complete Infinite resistance Missing contact Missing geometry AC coupling Same layer >2X design value OPC distortion Between layers >2X design value Oxide thinning Leakage PN junction ESD Dust Gate oxide Local weakness in thin oxide layer Stuck-on Undercut gate ESD Stuck-off Thick oxide Extreme variation > Specified DF_PDK (Deviation Factor) to specification or process corner Mouse bite Blob The standard does not mandate a particular defect model to be used, but it does mandate the model description to be part of coverage report Defects Commonly Observed in Silicon and Targeted by Manufacturing Tests dg_short gs_short ds_short d_open g_open Defect Identification Defect Identification Defect Defect Identification Defect Simulation Coverage Defect Identification Defect Simulation Coverage Analysis Defect Simulation Coverage Analysis Legato™ platform Environment developed in alignment with IEEE P2427 Reference[2] Analog Defect Modelling
  • 14. 14 © 2019 Cadence Design Systems, Inc. All rights reserved. – Schematic netlists (no layouts) – Process files: typical, SS, SF, FS, FF – Specifications, with limits, only for 3.3 volt operation at 27C OPAMP• 77 Hard defects (after collapsing) – 43 shorts : 3 per MOS transistor, 1 per diode, C, R (JFET) – 34 opens: 2 per MOS transistor, 1 per diode, C, R (JFET) • Defect Models. Short: 200 Ω. Open: 1 GΩ Defect type Defect Model Detected defects # Undetectab le Defects # Undetected Defects # Detectable Coverage (%) Weightin g algorithm Corner( s) Test conditions Short 200 Ω 34 0 9 81 None Fast/Slo w Input: 1 MHz 0.5V sine, 1.65 V bias, via 10 kOpen 1 GΩ 17 0 17 50 none Fast/Slo w Coverage Report Note: Soft and parametric defect injection is not supported yet in the official release Reference[2] Example: Op-Amp From ITC’17 Benchmarks
  • 15. 15 © 2019 Cadence Design Systems, Inc. All rights reserved. LPPDDR4 Safety Verification Summary ✓ Avoid traditional approach of starting functional safety after functional verification : Iterative and expensive development phase ✓ Functional Safety Need to be Architected and not added later. ✓ Safety Analysis must start prior to implementation. ‘Design for safety/verification’ ✓ Reuse & Synergize : Nominal and Functional Safety Verification. ✓ Fault optimization with formal and other techniques is necessary to overcome challenges with scaling simulation and analysis. ✓ Integrated push button fault simulation flow is need of hour and saves verification engineers time. ✓ Analog defect modelling and coverage can be performed based on IEEE P2427. IP REQ Customer REQ App Assumption FuSA Concept FMEA FMEDA Functional Verification (Systematic) Digital P V P L P V S Design Update (Safety Mech) ✓Architecture→ ✓Product→ ✓Design ✓FailureModes ✓ASIL REQ ✓FailureMode → Safety Mechanism ✓DC(ASIL) ✓PVPL:Product Verif. Plan ✓Verifiability ✓Verification Assignment. ✓Verification Domain ✓PVS: Product Verif. Spec ✓Verif Strategy Safety (Random) Verification: Formal/Dynamic ✓Qualitative Verification (Fault injection) of Safety Mechanism ✓Functional Verification (Systematic) Closure Safety Metric Verification Report ✓Statistical (Fault injection) Verification ✓Analysis SAFETY ANALYSIS/ Fault Optimization Analog DESIGN Fault Campaign Mgmt 1 2 3 46 5
  • 16. 16 © 2019 Cadence Design Systems, Inc. All rights reserved. Safety-Compliance IP : Requirement for Automotive Application ASIL-D/C ASIL-D/C ASIL-D/C ® ISO 26262 Functional Safety Is Critical to the Success of Autonomous Vehicles Being Designed Today
  • 17. 17 © 2019 Cadence Design Systems, Inc. All rights reserved. Acknowledgements DDR IP Safety Team – Mingyang Zhu – YJ Patil – James Yang – Siva Prasad – Pranesh M – Tony Vu – Tobing Soebroto Guidance on Automotive Safety Standards/tools/flow – Mangesh Pande-Safety Verification tools/flow – Pradeep Bagavathiappan –Jasper – Amit Bajaj: P2427 – Brian Taylor: ISO26262 Helping Me Tell Our Story – Thomas Wong
  • 18. 18 © 2019 Cadence Design Systems, Inc. All rights reserved. References 1. Using IEEE P2427 to measure the coverage of analog tests. European Test Symposium (ETS) May 2019, Baden Baden, Germany, Vladimir Zivkovic (Cadence), Jeff Rearick(AMD) 2. Legato™ Reliability Solution ADE/Spectre Fault Simulation. Cadence Customer Presentation. Walter Hartong , Jianhe Guo 3. Functional Safety Workshop. Stefano Lorenzini, Mangesh Pande, Joerg Mueller Thank you
  • 19. © 2019 Cadence Design Systems, Inc. All rights reserved worldwide. Cadence, the Cadence logo, and the other Cadence marks found at www.cadence.com/go/trademarks are trademarks or registered trademarks of Cadence Design Systems, Inc. All other trademarks are the property of their respective owners.