SlideShare a Scribd company logo
1 of 36
Download to read offline
Ramón Beivide
Universidad de Cantabria
Tendencias de Uso y Diseño de Redes
de Interconexión en Computadores
Paralelos
14 de Abril, 2016
Universidad Complutense de Madrid
2
Outline
1. Introduction
2. Network Basis
3. System networks
4. On-chip networks (NoCs)
5. Some current research
1. Intro: MareNostrum
3
1. Intro: MareNostrum BSC,
Infiniband FDR10 non-blocking Folded Clos (up to 40 racks)
4
36-port FDR10 36-port FDR10 36-port FDR10 36-port FDR10 36-port FDR10 36-port FDR10 36-port FDR10 36-port FDR10
Mellanox
648-port
IB
Core Switch
Mellanox
648-port
IB
Core Switch
Mellanox
648-port
IB
Core Switch
Mellanox
648-port
IB
Core Switch
Infiniband
648-port
FDR
Core
switch
Mellanox
648-port
IB
Core Switch
Mellanox
648-port
IB
Core Switch
36-port FDR10 36-port FDR10
560 560 560 560 560 560
Leaf switches
40 iDataPlex racks / 3360 dx360 M4 nodes
18 18 18 18 12
3 links to
each
core
3 links to
each
core
3 links to
each
core
3 links to
each
core
2 links to
each
core
FDR10 links
18 18 18 18 12
3 links to
each
core
3 links to
each
core
3 links to
each
core
3 links to
each
core
2 links to
each
core18 18 18 18 12
18 18 18 18 12
Latency: 0,7 μs
Bandwidth: 40Gb/s
5
1. Intro: Infiniband core switches
1. Intro: Cost dominated by (optical) wires
6
7
1. Intro: Blades
8
1. Intro: Blades
9
1. Intro: Multicore E5-2670 Xeon Processor
1. Intro: A row of servers in a Google DataCenter, 2012.
10
11
3. WSCs Array: Enrackable boards or blades + rack router
To other
clusters
Figure 1.1: Sketch of the typical elements in warehouse-scale systems: 1U
server (left), 7’ rack with Ethernet switch (middle), and diagram of a small
cluster with a cluster-level Ethernet switch/router (right).
12
3. WSC Hierarchy
1. Intro: Cray Cascade (XC30, XC40)
13
14
1. Intro: Cray Cascade (XC30, XC40)
15
1. Intro: An Architectural Model
Interconnection Network
…M1 Mn
S/R
ATU
S/R
CPU1 …
L/SL/S
L/S L/SATU
…
S/RS/R
Interconnection Network
Interconnection Network
…M1 Mn
S/R
ATU
S/R
CPU1 CPUn…
L/SL/S
L/S L/SATU
…
… … …
Interconnection Network
1. Intro: What we need for one ExaFlop/s
16
Networks are pervasive and critical components in Supercomputers,
Datacenters, Servers and Mobile Computers.
Complexity is moving from system networks towards on-chip networks:
less nodes but more complex
17
Outline
1. Introduction
2. Network Basis
Crossbars & Routers
Direct vs Indirect Networks
3. System networks
4. On-chip networks (NoCs)
5. Some current research
18
2. Network Basis
All networks based on Crossbar switches
• Switch complexity increases quadratically with the number of
crossbar input/output ports, N, i.e., grows as O(N2)
• Has the property of being non-blocking (N! I/O permutations)
• Bidirectional for exploiting communication locality
• Minimize latency & maximize throughput
7
6
5
4
3
2
1
0
76543210
7
6
5
4
3
2
1
0
76543210
19
2. Blocking vs. Non-blocking
• Reduction cost comes at the price of performance
– Some networks have the property of being blocking (Not N!)
– Contention is more likely to occur on network links
› Paths from different sources to different destinations share one or
more links
blocking topology
X
non-blocking topology
7
6
5
4
3
2
1
0
76543210
7
6
5
4
3
2
1
0
7
6
5
4
3
2
1
0
20
2. Swith or Router Microarchitecture
Routing Control Unit
Header
Flit
Forwarding
Table
Input buffers
DEMUX
Input buffers
DEMUX
Physical
channel
Link
Control
Link
Control
Physical
channel
MUX
CrossBar
DEMUX
MUX
DEMUX
Crossbar
Control
Stage 1
Output buffers
MUX
Link
Control
Output buffers
MUX
Link
Control
Physical
channel
Physical
channel
Stage 2 Stage 3 Stage 4 Stage 5
Arbitration
Unit
Output
Port #
IB (Input Buffering) RC (Route Computation) SA (Switch Arb) ST (Switch Traversal) OB (Output Buffering)
IB
IB
IB
RC
IB
SA
IB
IB
ST
ST
IB IB ST
IB IB ST
OB
OB
OB
OB
Packet header
Payload fragment
Payload fragment
Payload fragment
Pipelined Switch Microarchitecture
Matching the throughput
of the internal switch
datapath to the external
link BW is the goal
21
2. Network Organization
Switches
End Nodes
Indirect (Centralized) and Direct (Distributed) Networks
2. Previous Myrinet core switches (Indirect,
Centralized)
22
2. IBM BG/Q (Direct, Distributed)
23
24
2. Network Organization
64-node system with 8-port switches, c = 4 32-node system with 8-port switches
• As crossbars do not scale they need to be interconnected for
servicing an increasing number of endpoints.
• Direct (Distributed) vs Indirect (Centralized) Networks
• Concentration can be used to reduce network costs
– “c” end nodes connect to each switch
– Allows larger systems to be built from fewer switches and links
– Requires larger switch degree
25
Outline
1. Introduction
2. Network Basis
3. System networks
Folded Clos
Tori
Dragonflies
4. On-chip networks (NoCs)
5. Some current research
3. MareNostrum BSC,
Infiniband FDR10 non-blocking Folded Clos (up to 40 racks)
26
36-port FDR10 36-port FDR10 36-port FDR10 36-port FDR10 36-port FDR10 36-port FDR10 36-port FDR10 36-port FDR10
Mellanox
648-port
IB
Core Switch
Mellanox
648-port
IB
Core Switch
Mellanox
648-port
IB
Core Switch
Mellanox
648-port
IB
Core Switch
Infiniband
648-port
FDR
Core
switch
Mellanox
648-port
IB
Core Switch
Mellanox
648-port
IB
Core Switch
36-port FDR10 36-port FDR10
560 560 560 560 560 560
Leaf switches
40 iDataPlex racks / 3360 dx360 M4 nodes
18 18 18 18 12
3 links to
each
core
3 links to
each
core
3 links to
each
core
3 links to
each
core
2 links to
each
core
FDR10 links
18 18 18 18 12
3 links to
each
core
3 links to
each
core
3 links to
each
core
3 links to
each
core
2 links to
each
core18 18 18 18 12
18 18 18 18 12
Latency: 0,7 μs
Bandwidth: 40Gb/s
27
3. Network Topology
Centralized Switched (Indirect) Networks
16 port Crossbar network
7
6
5
4
3
2
1
0
15
14
13
12
11
10
9
8
7
6
5
4
3
2
1
0
15
14
13
12
11
10
9
8
28
3. Network Topology
Centralized Switched (Indirect) Networks
16 port, 3-stage Clos network
7
6
5
4
3
2
1
0
15
14
13
12
11
10
9
8
7
6
5
4
3
2
1
0
15
14
13
12
11
10
9
8
29
3. Network Topology
Centralized Switched (Indirect) Networks
16 port, 5-stage Clos network
7
6
5
4
3
2
1
0
15
14
13
12
11
10
9
8
7
6
5
4
3
2
1
0
15
14
13
12
11
10
9
8
30
3. Network Topology
Centralized Switched (Indirect) Networks
16 port, 7 stage Clos network = Benes topology
7
6
5
4
3
2
1
0
15
14
13
12
11
10
9
8
7
6
5
4
3
2
1
0
15
14
13
12
11
10
9
8
31
3. Network Topology
• Bidirectional MINs
• Increase modularity
• Reduce hop count, d
• Folded Clos network
– Nodes at tree leaves
– Switches at tree vertices
– Total link bandwidth is
constant across all tree
levels, with full bisection
bandwidth
Folded Clos = Folded Benes <> Fat tree network !!!
Centralized Switched (Indirect) Networks
7
6
5
4
3
2
1
0
15
14
13
12
11
10
9
8
Network
Bisection
32
3. Other DIRECT System Network Topologies
Distributed Switched (Direct) Networks
2Dtorusof16nodes
hypercubeof16nodes
(16=24,son=4)
2Dmeshorgridof16nodes
Network
Bisection
≤ full bisection bandwidth!
33
3. IBM BlueGene/L/P Network
Prismatic 32x32x64 Torus (mixed-radix networks)
BlueGene/P: 32x32x72 in maximum configuration
Mixed-radix prismatic Tori also used by Cray
3. IBM BG/Q
34
3. IBM BG/Q
35
36
3 .BG Network Routing
X Wires
Y Wires
Z Wires
Adaptive Bubble Routing
ATC-UC Research Group
3. Fujitsu Tofu Network
37
38
3. More Recent Network Topologies
Distributed Switched (Direct) Networks
• Fully-connected network: all nodes are directly connected to
all other nodes using bidirectional dedicated links
6 2
4
5
7
0
1
3
3. IBM PERCS
39
3. IBM PERCS
40
3. IBM PERCS
41
Organized as groups of
routers
Parameters:
• a: Routers per group
• p: Node per router
• h: Global link per
router
• Well-balanced
dragonfly [1]
a = 2p =2h
3. Dragonfly Interconnection Network
Inter-group
•Global links
•Complete graph
Intra-group
•Local links
•Complete graph
Minimal routing
• Longest path 3 hops:
local-global-local
• Good performance under
UN traffic
Adversarial traffic [1]
• ADV+N: Nodes in group i
send traffic to group i+N
• Saturation of the global
link
3. Dragonfly Interconnection Network
Source
Node
Destination
Node
Destination
Group i+N
Source Group
i
SATURATION
[1] J. Kim, W. Dally, S. Scott, and D. Abts.
“Technology-driven, highly-scalable dragonfly
topology.” ISCA ‘08.
Valiant Routing [2]
• Randomly selects an
intermediate group to
misroute packets
• Avoids saturated
channel
• Longest path 5 hops
local-global-local-
global-local
3. Dragonfly Interconnection Network
Source
Node
Destination
Node
Intermediate
Group
[2] L. Valiant, “A scheme for fast parallel
communication," SIAM journal on com-
puting, vol. 11, p. 350, 1982.
45
3. Cray Cascade, electrical supernode
46
3. Cray Cascade, system and routing
47
Outline
1. Introduction
2. Network Basis
3. System networks
4. On-chip networks (NoCs)
Rings
Meshes
5. Some current research
SEM photo of local levels interconnect
4. On-Chip local interconnects
48
Global levels interconnect
4. On-Chip global interconnects
49
4. Metal Layers
50
4. Bumps & Balls
51
Multiple integration with 3D stacking…
4. 3D (& 2.5D) Stacking & Silicon Photonics
3M, IBM team to develop 3D IC adhesive, EETimes India                                                                            STMicroelectronics & CEA
52
4. Rings from ARM
53
4. Rings from Intel
54
55
Folded ring:
Lower
maximum
physical
link length
4. Rings (Direct or Indirect?)
• Bidirectional Ring networks (folded)
– N switches (3 × 3) and N bidirectional network links
– Simultaneous packet transport over disjoint paths
– Packets must hop across intermediate nodes
– Shortest direction usually selected (N/4 hops, on average)
– Bisection Bandwidth???
56
4. Meshes and Tori
Distributed Switched (Direct) Networks
2Dtorusof16nodes
2Dmeshorgridof16nodes
Network
Bisection
4. Meshes from Tilera
4. Mesh from Pythium Mars Architecture
These images were taken form the slides presented at Hot Chips 2015
• L1:
– Separated L1 Icache and L1 Dcache
– 32 KB Icache
– 32 KB Dcache
• 6 outstanding loads
• 4 cycles latency from load to use
• L2:
– 16 L2 banks of 4 MB
– 32 MB of shared L2
• L3:
– 8 L3 arrays of 16 MB
– 128 MB of L3
• Memory Controllers:
– 16 DDR3-1600 channels
• 2x16-lane PCIe-3.0
• Directory based cache coherency
– 16 Directory Control Unit (DCU)
• MOESI like cache coherence protocol
4. Pythium Mars NoC
This image was taken form the slides presented at Hot Chips 2015
• 6 bi-directional ports switches
• 4 physical channels for cache coherence
• 3 cycles for each hop
• 384 GB/s each cell
4. Meshes from Intel Knights Landing
60
Intel Knights Landing – 3 options
4. Intel Knights Landing
61
4. Intel Knights Landing
62
4. Intel Knights Landing
63
4. Intel Knights Landing
64
65
Outline
1. Introduction
2. Network Basis
3. System networks
4. On-chip networks (NoCs)
5. Some current research
5. Some research on NUCA-based CMP Models
66
5. Full-system simulation including concentration
67
GEM5 + BookSim full‐system simulation platform parameters
ISA X86
Number of Cores 64
CPU Model Out of Order
CPU Frequency 2 GHz
Cache Coherence Protocol MESI
L1 Instructions Size 32 KB
L1 Data Size 64 KB
Shared distributed L2 256 KB per Core
# Memory Controllers 4
Network Frequency 1 GHz
Router Pipeline Stages 4
Physical Networks 3
Buffer Size 10 flits
Link Width 64 bits
Topologies
8x8 mesh, torus and FBFLY
4x4 FBFBLY with C=4
Applications used PARSEC benchmarks
5. Topology comparison
Three different topologies are considered:
68
Topology 2D Mesh 2D Torus 2D FBFLY
Degree (ports) ↓
Diameter (max. distance)↓ 2
Average distance ↓
Bisection Bandwidth (links)↑
Advantages
Low degree
Shortest links
Low degree
Symmetry
Better properties
Symmetry
Best properties
Larger concentration
Disadvantages
Largest distances
Lowest BB
Folding
Deadlock
Highest costs
Non‐uniform link 
lengths
N=16
5. Full-system simulation
Normalized execution time
and network latencies:
• Average latency has impact in AMAT.
• High latencies can degrade execution
times if the affected data are critical.
69
5. Router Power and Area
Router leakage power and area evaluation:
• Buffers are the most consuming part of the router.
• Crossbars and allocators grew quadratically with the number of
ports.
• The load in these simulations is low. Hence, the leakage power is
the dominant one.
70
5. Router Power and Area
Network leakage power evaluation:
• FBFLY can manage higher concentrations because its higher BB.
71
5. OmpSs vs. pThreads
72

More Related Content

What's hot

Dynamische Routingprotokolle Aufzucht und Pflege - BGP
Dynamische Routingprotokolle Aufzucht und Pflege - BGPDynamische Routingprotokolle Aufzucht und Pflege - BGP
Dynamische Routingprotokolle Aufzucht und Pflege - BGPMaximilan Wilhelm
 
Lightweight 4-over-6: One step further Dual-Stack Lite Networks (RIPE 76)
Lightweight 4-over-6: One step further Dual-Stack Lite Networks (RIPE 76)Lightweight 4-over-6: One step further Dual-Stack Lite Networks (RIPE 76)
Lightweight 4-over-6: One step further Dual-Stack Lite Networks (RIPE 76)Igalia
 
CTTC presentation WSN in Contiki
CTTC presentation WSN in ContikiCTTC presentation WSN in Contiki
CTTC presentation WSN in ContikiTania Ellinidou
 
Muriel Medard - Network Coding in Satellites - Global SIP 2018
Muriel Medard - Network Coding in Satellites - Global SIP 2018Muriel Medard - Network Coding in Satellites - Global SIP 2018
Muriel Medard - Network Coding in Satellites - Global SIP 2018CodeOn
 
Netzwerkgrundlagen - Von Ethernet bis IP
Netzwerkgrundlagen - Von Ethernet bis IPNetzwerkgrundlagen - Von Ethernet bis IP
Netzwerkgrundlagen - Von Ethernet bis IPMaximilan Wilhelm
 
Comparative study of various voip applications in 802.11 a wireless network s...
Comparative study of various voip applications in 802.11 a wireless network s...Comparative study of various voip applications in 802.11 a wireless network s...
Comparative study of various voip applications in 802.11 a wireless network s...ijmnct
 
6 Lo Wpan Tutorial 20080206
6 Lo Wpan Tutorial 200802066 Lo Wpan Tutorial 20080206
6 Lo Wpan Tutorial 20080206pauldeng
 
Introduction to Building Communication Protocols
Introduction to Building Communication Protocols Introduction to Building Communication Protocols
Introduction to Building Communication Protocols Mahmoud Ahmed
 
6lowpan 110828234426-phpapp01
6lowpan 110828234426-phpapp016lowpan 110828234426-phpapp01
6lowpan 110828234426-phpapp01mrmr2010i
 
TCP over 6LoWPAN for Industrial Applications
TCP over 6LoWPAN for Industrial ApplicationsTCP over 6LoWPAN for Industrial Applications
TCP over 6LoWPAN for Industrial ApplicationsAhmed Ayadi
 
Point To Point Protocol
Point To Point ProtocolPoint To Point Protocol
Point To Point ProtocolPhan Vuong
 
Unit 5 ppt-idc
Unit 5 ppt-idcUnit 5 ppt-idc
Unit 5 ppt-idchiya123jes
 
AREA EFFICIENT 3.3GHZ PHASE LOCKED LOOP WITH FOUR MULTIPLE OUTPUT USING 45NM ...
AREA EFFICIENT 3.3GHZ PHASE LOCKED LOOP WITH FOUR MULTIPLE OUTPUT USING 45NM ...AREA EFFICIENT 3.3GHZ PHASE LOCKED LOOP WITH FOUR MULTIPLE OUTPUT USING 45NM ...
AREA EFFICIENT 3.3GHZ PHASE LOCKED LOOP WITH FOUR MULTIPLE OUTPUT USING 45NM ...VLSICS Design
 

What's hot (20)

IPv6 im Jahre 2018
IPv6 im Jahre 2018IPv6 im Jahre 2018
IPv6 im Jahre 2018
 
Dynamische Routingprotokolle Aufzucht und Pflege - BGP
Dynamische Routingprotokolle Aufzucht und Pflege - BGPDynamische Routingprotokolle Aufzucht und Pflege - BGP
Dynamische Routingprotokolle Aufzucht und Pflege - BGP
 
Lightweight 4-over-6: One step further Dual-Stack Lite Networks (RIPE 76)
Lightweight 4-over-6: One step further Dual-Stack Lite Networks (RIPE 76)Lightweight 4-over-6: One step further Dual-Stack Lite Networks (RIPE 76)
Lightweight 4-over-6: One step further Dual-Stack Lite Networks (RIPE 76)
 
CCNA DUMPS 640-802
CCNA DUMPS 640-802CCNA DUMPS 640-802
CCNA DUMPS 640-802
 
CTTC presentation WSN in Contiki
CTTC presentation WSN in ContikiCTTC presentation WSN in Contiki
CTTC presentation WSN in Contiki
 
Muriel Medard - Network Coding in Satellites - Global SIP 2018
Muriel Medard - Network Coding in Satellites - Global SIP 2018Muriel Medard - Network Coding in Satellites - Global SIP 2018
Muriel Medard - Network Coding in Satellites - Global SIP 2018
 
Netzwerkgrundlagen - Von Ethernet bis IP
Netzwerkgrundlagen - Von Ethernet bis IPNetzwerkgrundlagen - Von Ethernet bis IP
Netzwerkgrundlagen - Von Ethernet bis IP
 
Comparative study of various voip applications in 802.11 a wireless network s...
Comparative study of various voip applications in 802.11 a wireless network s...Comparative study of various voip applications in 802.11 a wireless network s...
Comparative study of various voip applications in 802.11 a wireless network s...
 
6 Lo Wpan Tutorial 20080206
6 Lo Wpan Tutorial 200802066 Lo Wpan Tutorial 20080206
6 Lo Wpan Tutorial 20080206
 
Introduction to Building Communication Protocols
Introduction to Building Communication Protocols Introduction to Building Communication Protocols
Introduction to Building Communication Protocols
 
wp233
wp233wp233
wp233
 
6lowpan 110828234426-phpapp01
6lowpan 110828234426-phpapp016lowpan 110828234426-phpapp01
6lowpan 110828234426-phpapp01
 
TCP over 6LoWPAN for Industrial Applications
TCP over 6LoWPAN for Industrial ApplicationsTCP over 6LoWPAN for Industrial Applications
TCP over 6LoWPAN for Industrial Applications
 
6lowpan
6lowpan6lowpan
6lowpan
 
Point To Point Protocol
Point To Point ProtocolPoint To Point Protocol
Point To Point Protocol
 
6 tsch orlando
6 tsch orlando6 tsch orlando
6 tsch orlando
 
Unit 5 ppt-idc
Unit 5 ppt-idcUnit 5 ppt-idc
Unit 5 ppt-idc
 
Lan Network with Redundancy.ppt
Lan Network with Redundancy.pptLan Network with Redundancy.ppt
Lan Network with Redundancy.ppt
 
AREA EFFICIENT 3.3GHZ PHASE LOCKED LOOP WITH FOUR MULTIPLE OUTPUT USING 45NM ...
AREA EFFICIENT 3.3GHZ PHASE LOCKED LOOP WITH FOUR MULTIPLE OUTPUT USING 45NM ...AREA EFFICIENT 3.3GHZ PHASE LOCKED LOOP WITH FOUR MULTIPLE OUTPUT USING 45NM ...
AREA EFFICIENT 3.3GHZ PHASE LOCKED LOOP WITH FOUR MULTIPLE OUTPUT USING 45NM ...
 
WirelessHART
WirelessHARTWirelessHART
WirelessHART
 

Viewers also liked

Informacionalismo globalizacion e interconexion en red
Informacionalismo globalizacion e interconexion en redInformacionalismo globalizacion e interconexion en red
Informacionalismo globalizacion e interconexion en redtotti03
 
Globalización....
Globalización....Globalización....
Globalización....Luis Cuba
 
Informacionalismo globalización-interconexión
Informacionalismo globalización-interconexiónInformacionalismo globalización-interconexión
Informacionalismo globalización-interconexiónDiego Arley Arias Guzman
 
Interconexión de Redes Sociales (versión actualizada)
Interconexión de Redes Sociales (versión actualizada)Interconexión de Redes Sociales (versión actualizada)
Interconexión de Redes Sociales (versión actualizada)MindProject
 
Informacionalismo, globalización e interconexión en red
Informacionalismo, globalización e interconexión en redInformacionalismo, globalización e interconexión en red
Informacionalismo, globalización e interconexión en redGrupo AIRFUP
 
10 tendencias Distribución Hotelera
10 tendencias Distribución Hotelera10 tendencias Distribución Hotelera
10 tendencias Distribución HoteleraMindProject
 
Tecnología Educativa
Tecnología EducativaTecnología Educativa
Tecnología EducativaCin Sanabria
 

Viewers also liked (9)

Informacionalismo globalizacion e interconexion en red
Informacionalismo globalizacion e interconexion en redInformacionalismo globalizacion e interconexion en red
Informacionalismo globalizacion e interconexion en red
 
Globalización....
Globalización....Globalización....
Globalización....
 
Informacionalismo globalización-interconexión
Informacionalismo globalización-interconexiónInformacionalismo globalización-interconexión
Informacionalismo globalización-interconexión
 
Interconexión de Redes Sociales (versión actualizada)
Interconexión de Redes Sociales (versión actualizada)Interconexión de Redes Sociales (versión actualizada)
Interconexión de Redes Sociales (versión actualizada)
 
Informacionalismo, globalización e interconexión en red
Informacionalismo, globalización e interconexión en redInformacionalismo, globalización e interconexión en red
Informacionalismo, globalización e interconexión en red
 
Globalización y la cultura
Globalización y la culturaGlobalización y la cultura
Globalización y la cultura
 
10 tendencias Distribución Hotelera
10 tendencias Distribución Hotelera10 tendencias Distribución Hotelera
10 tendencias Distribución Hotelera
 
Tecnología Educativa
Tecnología EducativaTecnología Educativa
Tecnología Educativa
 
Globalización.ppt-
 Globalización.ppt- Globalización.ppt-
Globalización.ppt-
 

Similar to Tendencias de Uso y Diseño de Redes de Interconexión en Computadores Paralelos - Prof. Ramón Beivide

Cloud interconnection networks basic .pptx
Cloud interconnection networks basic .pptxCloud interconnection networks basic .pptx
Cloud interconnection networks basic .pptxRahulBhole12
 
Software defined network
Software defined networkSoftware defined network
Software defined networkBogamoga1
 
Multi protocol label switching (mpls)
Multi protocol label switching (mpls)Multi protocol label switching (mpls)
Multi protocol label switching (mpls)Online
 
Network interview questions
Network interview questionsNetwork interview questions
Network interview questionsrajasekar1712
 
Some important networking questions
Some important networking questionsSome important networking questions
Some important networking questionsSrikanth
 
Computer Networks.docx
Computer Networks.docxComputer Networks.docx
Computer Networks.docxVandanaGoyal21
 
Computer Networks.docx
Computer Networks.docxComputer Networks.docx
Computer Networks.docxVandanaGoyal21
 
CCNA(R&S) By Ezxprt
CCNA(R&S) By EzxprtCCNA(R&S) By Ezxprt
CCNA(R&S) By EzxprtEzxprt
 
Westermo webinar: Learning the Basics of Ethernet Networking
Westermo webinar: Learning the Basics of Ethernet NetworkingWestermo webinar: Learning the Basics of Ethernet Networking
Westermo webinar: Learning the Basics of Ethernet NetworkingWestermo Network Technologies
 
Assembling And Cabling
Assembling And CablingAssembling And Cabling
Assembling And Cablingscooby_doo
 
Chap.1 ethernet introduction
Chap.1 ethernet introductionChap.1 ethernet introduction
Chap.1 ethernet introduction東原 李
 
An FPGA for high end Open Networking
An FPGA for high end Open NetworkingAn FPGA for high end Open Networking
An FPGA for high end Open Networkingrinnocente
 
Emerging Technologies in On-Chip and Off-Chip Interconnection Networks
Emerging Technologies in On-Chip and Off-Chip Interconnection NetworksEmerging Technologies in On-Chip and Off-Chip Interconnection Networks
Emerging Technologies in On-Chip and Off-Chip Interconnection NetworksAshif Sikder
 
Experiment no. 1,2 and assignment no.1 cn
Experiment no. 1,2 and assignment no.1 cnExperiment no. 1,2 and assignment no.1 cn
Experiment no. 1,2 and assignment no.1 cnHusainGadiwala1
 

Similar to Tendencias de Uso y Diseño de Redes de Interconexión en Computadores Paralelos - Prof. Ramón Beivide (20)

Cloud interconnection networks basic .pptx
Cloud interconnection networks basic .pptxCloud interconnection networks basic .pptx
Cloud interconnection networks basic .pptx
 
Software defined network
Software defined networkSoftware defined network
Software defined network
 
Multi protocol label switching (mpls)
Multi protocol label switching (mpls)Multi protocol label switching (mpls)
Multi protocol label switching (mpls)
 
Network layer tanenbaum
Network layer tanenbaumNetwork layer tanenbaum
Network layer tanenbaum
 
Network interview questions
Network interview questionsNetwork interview questions
Network interview questions
 
Some important networking questions
Some important networking questionsSome important networking questions
Some important networking questions
 
Computer Networks.docx
Computer Networks.docxComputer Networks.docx
Computer Networks.docx
 
Computer Networks.docx
Computer Networks.docxComputer Networks.docx
Computer Networks.docx
 
CCNA(R&S) By Ezxprt
CCNA(R&S) By EzxprtCCNA(R&S) By Ezxprt
CCNA(R&S) By Ezxprt
 
Ccna (200 125)
Ccna (200 125)Ccna (200 125)
Ccna (200 125)
 
Westermo webinar: Learning the Basics of Ethernet Networking
Westermo webinar: Learning the Basics of Ethernet NetworkingWestermo webinar: Learning the Basics of Ethernet Networking
Westermo webinar: Learning the Basics of Ethernet Networking
 
Assembling And Cabling
Assembling And CablingAssembling And Cabling
Assembling And Cabling
 
Chap.1 ethernet introduction
Chap.1 ethernet introductionChap.1 ethernet introduction
Chap.1 ethernet introduction
 
An FPGA for high end Open Networking
An FPGA for high end Open NetworkingAn FPGA for high end Open Networking
An FPGA for high end Open Networking
 
Cisco CCNA Data Center Networking Fundamentals
Cisco CCNA Data Center Networking FundamentalsCisco CCNA Data Center Networking Fundamentals
Cisco CCNA Data Center Networking Fundamentals
 
Emerging Technologies in On-Chip and Off-Chip Interconnection Networks
Emerging Technologies in On-Chip and Off-Chip Interconnection NetworksEmerging Technologies in On-Chip and Off-Chip Interconnection Networks
Emerging Technologies in On-Chip and Off-Chip Interconnection Networks
 
Experiment no. 1,2 and assignment no.1 cn
Experiment no. 1,2 and assignment no.1 cnExperiment no. 1,2 and assignment no.1 cn
Experiment no. 1,2 and assignment no.1 cn
 
Bluetooth.ppt
Bluetooth.pptBluetooth.ppt
Bluetooth.ppt
 
Bluetooth.ppt
Bluetooth.pptBluetooth.ppt
Bluetooth.ppt
 
How to build resilient industrial networks
How to build resilient industrial networksHow to build resilient industrial networks
How to build resilient industrial networks
 

More from Facultad de Informática UCM

¿Por qué debemos seguir trabajando en álgebra lineal?
¿Por qué debemos seguir trabajando en álgebra lineal?¿Por qué debemos seguir trabajando en álgebra lineal?
¿Por qué debemos seguir trabajando en álgebra lineal?Facultad de Informática UCM
 
TECNOPOLÍTICA Y ACTIVISMO DE DATOS: EL MAPEO COMO FORMA DE RESILIENCIA ANTE L...
TECNOPOLÍTICA Y ACTIVISMO DE DATOS: EL MAPEO COMO FORMA DE RESILIENCIA ANTE L...TECNOPOLÍTICA Y ACTIVISMO DE DATOS: EL MAPEO COMO FORMA DE RESILIENCIA ANTE L...
TECNOPOLÍTICA Y ACTIVISMO DE DATOS: EL MAPEO COMO FORMA DE RESILIENCIA ANTE L...Facultad de Informática UCM
 
DRAC: Designing RISC-V-based Accelerators for next generation Computers
DRAC: Designing RISC-V-based Accelerators for next generation ComputersDRAC: Designing RISC-V-based Accelerators for next generation Computers
DRAC: Designing RISC-V-based Accelerators for next generation ComputersFacultad de Informática UCM
 
Tendencias en el diseño de procesadores con arquitectura Arm
Tendencias en el diseño de procesadores con arquitectura ArmTendencias en el diseño de procesadores con arquitectura Arm
Tendencias en el diseño de procesadores con arquitectura ArmFacultad de Informática UCM
 
Introduction to Quantum Computing and Quantum Service Oriented Computing
Introduction to Quantum Computing and Quantum Service Oriented ComputingIntroduction to Quantum Computing and Quantum Service Oriented Computing
Introduction to Quantum Computing and Quantum Service Oriented ComputingFacultad de Informática UCM
 
Inteligencia Artificial en la atención sanitaria del futuro
Inteligencia Artificial en la atención sanitaria del futuroInteligencia Artificial en la atención sanitaria del futuro
Inteligencia Artificial en la atención sanitaria del futuroFacultad de Informática UCM
 
Design Automation Approaches for Real-Time Edge Computing for Science Applic...
 Design Automation Approaches for Real-Time Edge Computing for Science Applic... Design Automation Approaches for Real-Time Edge Computing for Science Applic...
Design Automation Approaches for Real-Time Edge Computing for Science Applic...Facultad de Informática UCM
 
Estrategias de navegación para robótica móvil de campo: caso de estudio proye...
Estrategias de navegación para robótica móvil de campo: caso de estudio proye...Estrategias de navegación para robótica móvil de campo: caso de estudio proye...
Estrategias de navegación para robótica móvil de campo: caso de estudio proye...Facultad de Informática UCM
 
Fault-tolerance Quantum computation and Quantum Error Correction
Fault-tolerance Quantum computation and Quantum Error CorrectionFault-tolerance Quantum computation and Quantum Error Correction
Fault-tolerance Quantum computation and Quantum Error CorrectionFacultad de Informática UCM
 
Cómo construir un chatbot inteligente sin morir en el intento
Cómo construir un chatbot inteligente sin morir en el intentoCómo construir un chatbot inteligente sin morir en el intento
Cómo construir un chatbot inteligente sin morir en el intentoFacultad de Informática UCM
 
Automatic generation of hardware memory architectures for HPC
Automatic generation of hardware memory architectures for HPCAutomatic generation of hardware memory architectures for HPC
Automatic generation of hardware memory architectures for HPCFacultad de Informática UCM
 
Hardware/software security contracts: Principled foundations for building sec...
Hardware/software security contracts: Principled foundations for building sec...Hardware/software security contracts: Principled foundations for building sec...
Hardware/software security contracts: Principled foundations for building sec...Facultad de Informática UCM
 
Jose carlossancho slidesLa seguridad en el desarrollo de software implementad...
Jose carlossancho slidesLa seguridad en el desarrollo de software implementad...Jose carlossancho slidesLa seguridad en el desarrollo de software implementad...
Jose carlossancho slidesLa seguridad en el desarrollo de software implementad...Facultad de Informática UCM
 
Redes neuronales y reinforcement learning. Aplicación en energía eólica.
Redes neuronales y reinforcement learning. Aplicación en energía eólica.Redes neuronales y reinforcement learning. Aplicación en energía eólica.
Redes neuronales y reinforcement learning. Aplicación en energía eólica.Facultad de Informática UCM
 
Challenges and Opportunities for AI and Data analytics in Offshore wind
Challenges and Opportunities for AI and Data analytics in Offshore windChallenges and Opportunities for AI and Data analytics in Offshore wind
Challenges and Opportunities for AI and Data analytics in Offshore windFacultad de Informática UCM
 

More from Facultad de Informática UCM (20)

¿Por qué debemos seguir trabajando en álgebra lineal?
¿Por qué debemos seguir trabajando en álgebra lineal?¿Por qué debemos seguir trabajando en álgebra lineal?
¿Por qué debemos seguir trabajando en álgebra lineal?
 
TECNOPOLÍTICA Y ACTIVISMO DE DATOS: EL MAPEO COMO FORMA DE RESILIENCIA ANTE L...
TECNOPOLÍTICA Y ACTIVISMO DE DATOS: EL MAPEO COMO FORMA DE RESILIENCIA ANTE L...TECNOPOLÍTICA Y ACTIVISMO DE DATOS: EL MAPEO COMO FORMA DE RESILIENCIA ANTE L...
TECNOPOLÍTICA Y ACTIVISMO DE DATOS: EL MAPEO COMO FORMA DE RESILIENCIA ANTE L...
 
DRAC: Designing RISC-V-based Accelerators for next generation Computers
DRAC: Designing RISC-V-based Accelerators for next generation ComputersDRAC: Designing RISC-V-based Accelerators for next generation Computers
DRAC: Designing RISC-V-based Accelerators for next generation Computers
 
uElectronics ongoing activities at ESA
uElectronics ongoing activities at ESAuElectronics ongoing activities at ESA
uElectronics ongoing activities at ESA
 
Tendencias en el diseño de procesadores con arquitectura Arm
Tendencias en el diseño de procesadores con arquitectura ArmTendencias en el diseño de procesadores con arquitectura Arm
Tendencias en el diseño de procesadores con arquitectura Arm
 
Formalizing Mathematics in Lean
Formalizing Mathematics in LeanFormalizing Mathematics in Lean
Formalizing Mathematics in Lean
 
Introduction to Quantum Computing and Quantum Service Oriented Computing
Introduction to Quantum Computing and Quantum Service Oriented ComputingIntroduction to Quantum Computing and Quantum Service Oriented Computing
Introduction to Quantum Computing and Quantum Service Oriented Computing
 
Computer Design Concepts for Machine Learning
Computer Design Concepts for Machine LearningComputer Design Concepts for Machine Learning
Computer Design Concepts for Machine Learning
 
Inteligencia Artificial en la atención sanitaria del futuro
Inteligencia Artificial en la atención sanitaria del futuroInteligencia Artificial en la atención sanitaria del futuro
Inteligencia Artificial en la atención sanitaria del futuro
 
Design Automation Approaches for Real-Time Edge Computing for Science Applic...
 Design Automation Approaches for Real-Time Edge Computing for Science Applic... Design Automation Approaches for Real-Time Edge Computing for Science Applic...
Design Automation Approaches for Real-Time Edge Computing for Science Applic...
 
Estrategias de navegación para robótica móvil de campo: caso de estudio proye...
Estrategias de navegación para robótica móvil de campo: caso de estudio proye...Estrategias de navegación para robótica móvil de campo: caso de estudio proye...
Estrategias de navegación para robótica móvil de campo: caso de estudio proye...
 
Fault-tolerance Quantum computation and Quantum Error Correction
Fault-tolerance Quantum computation and Quantum Error CorrectionFault-tolerance Quantum computation and Quantum Error Correction
Fault-tolerance Quantum computation and Quantum Error Correction
 
Cómo construir un chatbot inteligente sin morir en el intento
Cómo construir un chatbot inteligente sin morir en el intentoCómo construir un chatbot inteligente sin morir en el intento
Cómo construir un chatbot inteligente sin morir en el intento
 
Automatic generation of hardware memory architectures for HPC
Automatic generation of hardware memory architectures for HPCAutomatic generation of hardware memory architectures for HPC
Automatic generation of hardware memory architectures for HPC
 
Type and proof structures for concurrency
Type and proof structures for concurrencyType and proof structures for concurrency
Type and proof structures for concurrency
 
Hardware/software security contracts: Principled foundations for building sec...
Hardware/software security contracts: Principled foundations for building sec...Hardware/software security contracts: Principled foundations for building sec...
Hardware/software security contracts: Principled foundations for building sec...
 
Jose carlossancho slidesLa seguridad en el desarrollo de software implementad...
Jose carlossancho slidesLa seguridad en el desarrollo de software implementad...Jose carlossancho slidesLa seguridad en el desarrollo de software implementad...
Jose carlossancho slidesLa seguridad en el desarrollo de software implementad...
 
Do you trust your artificial intelligence system?
Do you trust your artificial intelligence system?Do you trust your artificial intelligence system?
Do you trust your artificial intelligence system?
 
Redes neuronales y reinforcement learning. Aplicación en energía eólica.
Redes neuronales y reinforcement learning. Aplicación en energía eólica.Redes neuronales y reinforcement learning. Aplicación en energía eólica.
Redes neuronales y reinforcement learning. Aplicación en energía eólica.
 
Challenges and Opportunities for AI and Data analytics in Offshore wind
Challenges and Opportunities for AI and Data analytics in Offshore windChallenges and Opportunities for AI and Data analytics in Offshore wind
Challenges and Opportunities for AI and Data analytics in Offshore wind
 

Recently uploaded

Forming section troubleshooting checklist for improving wire life (1).ppt
Forming section troubleshooting checklist for improving wire life (1).pptForming section troubleshooting checklist for improving wire life (1).ppt
Forming section troubleshooting checklist for improving wire life (1).pptNoman khan
 
Novel 3D-Printed Soft Linear and Bending Actuators
Novel 3D-Printed Soft Linear and Bending ActuatorsNovel 3D-Printed Soft Linear and Bending Actuators
Novel 3D-Printed Soft Linear and Bending ActuatorsResearcher Researcher
 
Theory of Machine Notes / Lecture Material .pdf
Theory of Machine Notes / Lecture Material .pdfTheory of Machine Notes / Lecture Material .pdf
Theory of Machine Notes / Lecture Material .pdfShreyas Pandit
 
70 POWER PLANT IAE V2500 technical training
70 POWER PLANT IAE V2500 technical training70 POWER PLANT IAE V2500 technical training
70 POWER PLANT IAE V2500 technical trainingGladiatorsKasper
 
List of Accredited Concrete Batching Plant.pdf
List of Accredited Concrete Batching Plant.pdfList of Accredited Concrete Batching Plant.pdf
List of Accredited Concrete Batching Plant.pdfisabel213075
 
Mine Environment II Lab_MI10448MI__________.pptx
Mine Environment II Lab_MI10448MI__________.pptxMine Environment II Lab_MI10448MI__________.pptx
Mine Environment II Lab_MI10448MI__________.pptxRomil Mishra
 
2022 AWS DNA Hackathon 장애 대응 솔루션 jarvis.
2022 AWS DNA Hackathon 장애 대응 솔루션 jarvis.2022 AWS DNA Hackathon 장애 대응 솔루션 jarvis.
2022 AWS DNA Hackathon 장애 대응 솔루션 jarvis.elesangwon
 
11. Properties of Liquid Fuels in Energy Engineering.pdf
11. Properties of Liquid Fuels in Energy Engineering.pdf11. Properties of Liquid Fuels in Energy Engineering.pdf
11. Properties of Liquid Fuels in Energy Engineering.pdfHafizMudaserAhmad
 
Input Output Management in Operating System
Input Output Management in Operating SystemInput Output Management in Operating System
Input Output Management in Operating SystemRashmi Bhat
 
Katarzyna Lipka-Sidor - BIM School Course
Katarzyna Lipka-Sidor - BIM School CourseKatarzyna Lipka-Sidor - BIM School Course
Katarzyna Lipka-Sidor - BIM School Coursebim.edu.pl
 
"Exploring the Essential Functions and Design Considerations of Spillways in ...
"Exploring the Essential Functions and Design Considerations of Spillways in ..."Exploring the Essential Functions and Design Considerations of Spillways in ...
"Exploring the Essential Functions and Design Considerations of Spillways in ...Erbil Polytechnic University
 
CME 397 - SURFACE ENGINEERING - UNIT 1 FULL NOTES
CME 397 - SURFACE ENGINEERING - UNIT 1 FULL NOTESCME 397 - SURFACE ENGINEERING - UNIT 1 FULL NOTES
CME 397 - SURFACE ENGINEERING - UNIT 1 FULL NOTESkarthi keyan
 
Python Programming for basic beginners.pptx
Python Programming for basic beginners.pptxPython Programming for basic beginners.pptx
Python Programming for basic beginners.pptxmohitesoham12
 
Artificial Intelligence in Power System overview
Artificial Intelligence in Power System overviewArtificial Intelligence in Power System overview
Artificial Intelligence in Power System overviewsandhya757531
 
Main Memory Management in Operating System
Main Memory Management in Operating SystemMain Memory Management in Operating System
Main Memory Management in Operating SystemRashmi Bhat
 
STATE TRANSITION DIAGRAM in psoc subject
STATE TRANSITION DIAGRAM in psoc subjectSTATE TRANSITION DIAGRAM in psoc subject
STATE TRANSITION DIAGRAM in psoc subjectGayathriM270621
 
A brief look at visionOS - How to develop app on Apple's Vision Pro
A brief look at visionOS - How to develop app on Apple's Vision ProA brief look at visionOS - How to develop app on Apple's Vision Pro
A brief look at visionOS - How to develop app on Apple's Vision ProRay Yuan Liu
 
Secure Key Crypto - Tech Paper JET Tech Labs
Secure Key Crypto - Tech Paper JET Tech LabsSecure Key Crypto - Tech Paper JET Tech Labs
Secure Key Crypto - Tech Paper JET Tech Labsamber724300
 
Gravity concentration_MI20612MI_________
Gravity concentration_MI20612MI_________Gravity concentration_MI20612MI_________
Gravity concentration_MI20612MI_________Romil Mishra
 
Javier_Fernandez_CARS_workshop_presentation.pptx
Javier_Fernandez_CARS_workshop_presentation.pptxJavier_Fernandez_CARS_workshop_presentation.pptx
Javier_Fernandez_CARS_workshop_presentation.pptxJavier Fernández Muñoz
 

Recently uploaded (20)

Forming section troubleshooting checklist for improving wire life (1).ppt
Forming section troubleshooting checklist for improving wire life (1).pptForming section troubleshooting checklist for improving wire life (1).ppt
Forming section troubleshooting checklist for improving wire life (1).ppt
 
Novel 3D-Printed Soft Linear and Bending Actuators
Novel 3D-Printed Soft Linear and Bending ActuatorsNovel 3D-Printed Soft Linear and Bending Actuators
Novel 3D-Printed Soft Linear and Bending Actuators
 
Theory of Machine Notes / Lecture Material .pdf
Theory of Machine Notes / Lecture Material .pdfTheory of Machine Notes / Lecture Material .pdf
Theory of Machine Notes / Lecture Material .pdf
 
70 POWER PLANT IAE V2500 technical training
70 POWER PLANT IAE V2500 technical training70 POWER PLANT IAE V2500 technical training
70 POWER PLANT IAE V2500 technical training
 
List of Accredited Concrete Batching Plant.pdf
List of Accredited Concrete Batching Plant.pdfList of Accredited Concrete Batching Plant.pdf
List of Accredited Concrete Batching Plant.pdf
 
Mine Environment II Lab_MI10448MI__________.pptx
Mine Environment II Lab_MI10448MI__________.pptxMine Environment II Lab_MI10448MI__________.pptx
Mine Environment II Lab_MI10448MI__________.pptx
 
2022 AWS DNA Hackathon 장애 대응 솔루션 jarvis.
2022 AWS DNA Hackathon 장애 대응 솔루션 jarvis.2022 AWS DNA Hackathon 장애 대응 솔루션 jarvis.
2022 AWS DNA Hackathon 장애 대응 솔루션 jarvis.
 
11. Properties of Liquid Fuels in Energy Engineering.pdf
11. Properties of Liquid Fuels in Energy Engineering.pdf11. Properties of Liquid Fuels in Energy Engineering.pdf
11. Properties of Liquid Fuels in Energy Engineering.pdf
 
Input Output Management in Operating System
Input Output Management in Operating SystemInput Output Management in Operating System
Input Output Management in Operating System
 
Katarzyna Lipka-Sidor - BIM School Course
Katarzyna Lipka-Sidor - BIM School CourseKatarzyna Lipka-Sidor - BIM School Course
Katarzyna Lipka-Sidor - BIM School Course
 
"Exploring the Essential Functions and Design Considerations of Spillways in ...
"Exploring the Essential Functions and Design Considerations of Spillways in ..."Exploring the Essential Functions and Design Considerations of Spillways in ...
"Exploring the Essential Functions and Design Considerations of Spillways in ...
 
CME 397 - SURFACE ENGINEERING - UNIT 1 FULL NOTES
CME 397 - SURFACE ENGINEERING - UNIT 1 FULL NOTESCME 397 - SURFACE ENGINEERING - UNIT 1 FULL NOTES
CME 397 - SURFACE ENGINEERING - UNIT 1 FULL NOTES
 
Python Programming for basic beginners.pptx
Python Programming for basic beginners.pptxPython Programming for basic beginners.pptx
Python Programming for basic beginners.pptx
 
Artificial Intelligence in Power System overview
Artificial Intelligence in Power System overviewArtificial Intelligence in Power System overview
Artificial Intelligence in Power System overview
 
Main Memory Management in Operating System
Main Memory Management in Operating SystemMain Memory Management in Operating System
Main Memory Management in Operating System
 
STATE TRANSITION DIAGRAM in psoc subject
STATE TRANSITION DIAGRAM in psoc subjectSTATE TRANSITION DIAGRAM in psoc subject
STATE TRANSITION DIAGRAM in psoc subject
 
A brief look at visionOS - How to develop app on Apple's Vision Pro
A brief look at visionOS - How to develop app on Apple's Vision ProA brief look at visionOS - How to develop app on Apple's Vision Pro
A brief look at visionOS - How to develop app on Apple's Vision Pro
 
Secure Key Crypto - Tech Paper JET Tech Labs
Secure Key Crypto - Tech Paper JET Tech LabsSecure Key Crypto - Tech Paper JET Tech Labs
Secure Key Crypto - Tech Paper JET Tech Labs
 
Gravity concentration_MI20612MI_________
Gravity concentration_MI20612MI_________Gravity concentration_MI20612MI_________
Gravity concentration_MI20612MI_________
 
Javier_Fernandez_CARS_workshop_presentation.pptx
Javier_Fernandez_CARS_workshop_presentation.pptxJavier_Fernandez_CARS_workshop_presentation.pptx
Javier_Fernandez_CARS_workshop_presentation.pptx
 

Tendencias de Uso y Diseño de Redes de Interconexión en Computadores Paralelos - Prof. Ramón Beivide

  • 1. Ramón Beivide Universidad de Cantabria Tendencias de Uso y Diseño de Redes de Interconexión en Computadores Paralelos 14 de Abril, 2016 Universidad Complutense de Madrid 2 Outline 1. Introduction 2. Network Basis 3. System networks 4. On-chip networks (NoCs) 5. Some current research
  • 2. 1. Intro: MareNostrum 3 1. Intro: MareNostrum BSC, Infiniband FDR10 non-blocking Folded Clos (up to 40 racks) 4 36-port FDR10 36-port FDR10 36-port FDR10 36-port FDR10 36-port FDR10 36-port FDR10 36-port FDR10 36-port FDR10 Mellanox 648-port IB Core Switch Mellanox 648-port IB Core Switch Mellanox 648-port IB Core Switch Mellanox 648-port IB Core Switch Infiniband 648-port FDR Core switch Mellanox 648-port IB Core Switch Mellanox 648-port IB Core Switch 36-port FDR10 36-port FDR10 560 560 560 560 560 560 Leaf switches 40 iDataPlex racks / 3360 dx360 M4 nodes 18 18 18 18 12 3 links to each core 3 links to each core 3 links to each core 3 links to each core 2 links to each core FDR10 links 18 18 18 18 12 3 links to each core 3 links to each core 3 links to each core 3 links to each core 2 links to each core18 18 18 18 12 18 18 18 18 12 Latency: 0,7 μs Bandwidth: 40Gb/s
  • 3. 5 1. Intro: Infiniband core switches 1. Intro: Cost dominated by (optical) wires 6
  • 4. 7 1. Intro: Blades 8 1. Intro: Blades
  • 5. 9 1. Intro: Multicore E5-2670 Xeon Processor 1. Intro: A row of servers in a Google DataCenter, 2012. 10
  • 6. 11 3. WSCs Array: Enrackable boards or blades + rack router To other clusters Figure 1.1: Sketch of the typical elements in warehouse-scale systems: 1U server (left), 7’ rack with Ethernet switch (middle), and diagram of a small cluster with a cluster-level Ethernet switch/router (right). 12 3. WSC Hierarchy
  • 7. 1. Intro: Cray Cascade (XC30, XC40) 13 14 1. Intro: Cray Cascade (XC30, XC40)
  • 8. 15 1. Intro: An Architectural Model Interconnection Network …M1 Mn S/R ATU S/R CPU1 … L/SL/S L/S L/SATU … S/RS/R Interconnection Network Interconnection Network …M1 Mn S/R ATU S/R CPU1 CPUn… L/SL/S L/S L/SATU … … … … Interconnection Network 1. Intro: What we need for one ExaFlop/s 16 Networks are pervasive and critical components in Supercomputers, Datacenters, Servers and Mobile Computers. Complexity is moving from system networks towards on-chip networks: less nodes but more complex
  • 9. 17 Outline 1. Introduction 2. Network Basis Crossbars & Routers Direct vs Indirect Networks 3. System networks 4. On-chip networks (NoCs) 5. Some current research 18 2. Network Basis All networks based on Crossbar switches • Switch complexity increases quadratically with the number of crossbar input/output ports, N, i.e., grows as O(N2) • Has the property of being non-blocking (N! I/O permutations) • Bidirectional for exploiting communication locality • Minimize latency & maximize throughput 7 6 5 4 3 2 1 0 76543210 7 6 5 4 3 2 1 0 76543210
  • 10. 19 2. Blocking vs. Non-blocking • Reduction cost comes at the price of performance – Some networks have the property of being blocking (Not N!) – Contention is more likely to occur on network links › Paths from different sources to different destinations share one or more links blocking topology X non-blocking topology 7 6 5 4 3 2 1 0 76543210 7 6 5 4 3 2 1 0 7 6 5 4 3 2 1 0 20 2. Swith or Router Microarchitecture Routing Control Unit Header Flit Forwarding Table Input buffers DEMUX Input buffers DEMUX Physical channel Link Control Link Control Physical channel MUX CrossBar DEMUX MUX DEMUX Crossbar Control Stage 1 Output buffers MUX Link Control Output buffers MUX Link Control Physical channel Physical channel Stage 2 Stage 3 Stage 4 Stage 5 Arbitration Unit Output Port # IB (Input Buffering) RC (Route Computation) SA (Switch Arb) ST (Switch Traversal) OB (Output Buffering) IB IB IB RC IB SA IB IB ST ST IB IB ST IB IB ST OB OB OB OB Packet header Payload fragment Payload fragment Payload fragment Pipelined Switch Microarchitecture Matching the throughput of the internal switch datapath to the external link BW is the goal
  • 11. 21 2. Network Organization Switches End Nodes Indirect (Centralized) and Direct (Distributed) Networks 2. Previous Myrinet core switches (Indirect, Centralized) 22
  • 12. 2. IBM BG/Q (Direct, Distributed) 23 24 2. Network Organization 64-node system with 8-port switches, c = 4 32-node system with 8-port switches • As crossbars do not scale they need to be interconnected for servicing an increasing number of endpoints. • Direct (Distributed) vs Indirect (Centralized) Networks • Concentration can be used to reduce network costs – “c” end nodes connect to each switch – Allows larger systems to be built from fewer switches and links – Requires larger switch degree
  • 13. 25 Outline 1. Introduction 2. Network Basis 3. System networks Folded Clos Tori Dragonflies 4. On-chip networks (NoCs) 5. Some current research 3. MareNostrum BSC, Infiniband FDR10 non-blocking Folded Clos (up to 40 racks) 26 36-port FDR10 36-port FDR10 36-port FDR10 36-port FDR10 36-port FDR10 36-port FDR10 36-port FDR10 36-port FDR10 Mellanox 648-port IB Core Switch Mellanox 648-port IB Core Switch Mellanox 648-port IB Core Switch Mellanox 648-port IB Core Switch Infiniband 648-port FDR Core switch Mellanox 648-port IB Core Switch Mellanox 648-port IB Core Switch 36-port FDR10 36-port FDR10 560 560 560 560 560 560 Leaf switches 40 iDataPlex racks / 3360 dx360 M4 nodes 18 18 18 18 12 3 links to each core 3 links to each core 3 links to each core 3 links to each core 2 links to each core FDR10 links 18 18 18 18 12 3 links to each core 3 links to each core 3 links to each core 3 links to each core 2 links to each core18 18 18 18 12 18 18 18 18 12 Latency: 0,7 μs Bandwidth: 40Gb/s
  • 14. 27 3. Network Topology Centralized Switched (Indirect) Networks 16 port Crossbar network 7 6 5 4 3 2 1 0 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 15 14 13 12 11 10 9 8 28 3. Network Topology Centralized Switched (Indirect) Networks 16 port, 3-stage Clos network 7 6 5 4 3 2 1 0 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 15 14 13 12 11 10 9 8
  • 15. 29 3. Network Topology Centralized Switched (Indirect) Networks 16 port, 5-stage Clos network 7 6 5 4 3 2 1 0 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 15 14 13 12 11 10 9 8 30 3. Network Topology Centralized Switched (Indirect) Networks 16 port, 7 stage Clos network = Benes topology 7 6 5 4 3 2 1 0 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 15 14 13 12 11 10 9 8
  • 16. 31 3. Network Topology • Bidirectional MINs • Increase modularity • Reduce hop count, d • Folded Clos network – Nodes at tree leaves – Switches at tree vertices – Total link bandwidth is constant across all tree levels, with full bisection bandwidth Folded Clos = Folded Benes <> Fat tree network !!! Centralized Switched (Indirect) Networks 7 6 5 4 3 2 1 0 15 14 13 12 11 10 9 8 Network Bisection 32 3. Other DIRECT System Network Topologies Distributed Switched (Direct) Networks 2Dtorusof16nodes hypercubeof16nodes (16=24,son=4) 2Dmeshorgridof16nodes Network Bisection ≤ full bisection bandwidth!
  • 17. 33 3. IBM BlueGene/L/P Network Prismatic 32x32x64 Torus (mixed-radix networks) BlueGene/P: 32x32x72 in maximum configuration Mixed-radix prismatic Tori also used by Cray 3. IBM BG/Q 34
  • 18. 3. IBM BG/Q 35 36 3 .BG Network Routing X Wires Y Wires Z Wires Adaptive Bubble Routing ATC-UC Research Group
  • 19. 3. Fujitsu Tofu Network 37 38 3. More Recent Network Topologies Distributed Switched (Direct) Networks • Fully-connected network: all nodes are directly connected to all other nodes using bidirectional dedicated links 6 2 4 5 7 0 1 3
  • 20. 3. IBM PERCS 39 3. IBM PERCS 40
  • 21. 3. IBM PERCS 41 Organized as groups of routers Parameters: • a: Routers per group • p: Node per router • h: Global link per router • Well-balanced dragonfly [1] a = 2p =2h 3. Dragonfly Interconnection Network Inter-group •Global links •Complete graph Intra-group •Local links •Complete graph
  • 22. Minimal routing • Longest path 3 hops: local-global-local • Good performance under UN traffic Adversarial traffic [1] • ADV+N: Nodes in group i send traffic to group i+N • Saturation of the global link 3. Dragonfly Interconnection Network Source Node Destination Node Destination Group i+N Source Group i SATURATION [1] J. Kim, W. Dally, S. Scott, and D. Abts. “Technology-driven, highly-scalable dragonfly topology.” ISCA ‘08. Valiant Routing [2] • Randomly selects an intermediate group to misroute packets • Avoids saturated channel • Longest path 5 hops local-global-local- global-local 3. Dragonfly Interconnection Network Source Node Destination Node Intermediate Group [2] L. Valiant, “A scheme for fast parallel communication," SIAM journal on com- puting, vol. 11, p. 350, 1982.
  • 23. 45 3. Cray Cascade, electrical supernode 46 3. Cray Cascade, system and routing
  • 24. 47 Outline 1. Introduction 2. Network Basis 3. System networks 4. On-chip networks (NoCs) Rings Meshes 5. Some current research SEM photo of local levels interconnect 4. On-Chip local interconnects 48
  • 25. Global levels interconnect 4. On-Chip global interconnects 49 4. Metal Layers 50
  • 26. 4. Bumps & Balls 51 Multiple integration with 3D stacking… 4. 3D (& 2.5D) Stacking & Silicon Photonics 3M, IBM team to develop 3D IC adhesive, EETimes India                                                                            STMicroelectronics & CEA 52
  • 27. 4. Rings from ARM 53 4. Rings from Intel 54
  • 28. 55 Folded ring: Lower maximum physical link length 4. Rings (Direct or Indirect?) • Bidirectional Ring networks (folded) – N switches (3 × 3) and N bidirectional network links – Simultaneous packet transport over disjoint paths – Packets must hop across intermediate nodes – Shortest direction usually selected (N/4 hops, on average) – Bisection Bandwidth??? 56 4. Meshes and Tori Distributed Switched (Direct) Networks 2Dtorusof16nodes 2Dmeshorgridof16nodes Network Bisection
  • 29. 4. Meshes from Tilera 4. Mesh from Pythium Mars Architecture These images were taken form the slides presented at Hot Chips 2015 • L1: – Separated L1 Icache and L1 Dcache – 32 KB Icache – 32 KB Dcache • 6 outstanding loads • 4 cycles latency from load to use • L2: – 16 L2 banks of 4 MB – 32 MB of shared L2 • L3: – 8 L3 arrays of 16 MB – 128 MB of L3 • Memory Controllers: – 16 DDR3-1600 channels • 2x16-lane PCIe-3.0 • Directory based cache coherency – 16 Directory Control Unit (DCU) • MOESI like cache coherence protocol
  • 30. 4. Pythium Mars NoC This image was taken form the slides presented at Hot Chips 2015 • 6 bi-directional ports switches • 4 physical channels for cache coherence • 3 cycles for each hop • 384 GB/s each cell 4. Meshes from Intel Knights Landing 60 Intel Knights Landing – 3 options
  • 31. 4. Intel Knights Landing 61 4. Intel Knights Landing 62
  • 32. 4. Intel Knights Landing 63 4. Intel Knights Landing 64
  • 33. 65 Outline 1. Introduction 2. Network Basis 3. System networks 4. On-chip networks (NoCs) 5. Some current research 5. Some research on NUCA-based CMP Models 66
  • 34. 5. Full-system simulation including concentration 67 GEM5 + BookSim full‐system simulation platform parameters ISA X86 Number of Cores 64 CPU Model Out of Order CPU Frequency 2 GHz Cache Coherence Protocol MESI L1 Instructions Size 32 KB L1 Data Size 64 KB Shared distributed L2 256 KB per Core # Memory Controllers 4 Network Frequency 1 GHz Router Pipeline Stages 4 Physical Networks 3 Buffer Size 10 flits Link Width 64 bits Topologies 8x8 mesh, torus and FBFLY 4x4 FBFBLY with C=4 Applications used PARSEC benchmarks 5. Topology comparison Three different topologies are considered: 68 Topology 2D Mesh 2D Torus 2D FBFLY Degree (ports) ↓ Diameter (max. distance)↓ 2 Average distance ↓ Bisection Bandwidth (links)↑ Advantages Low degree Shortest links Low degree Symmetry Better properties Symmetry Best properties Larger concentration Disadvantages Largest distances Lowest BB Folding Deadlock Highest costs Non‐uniform link  lengths N=16
  • 35. 5. Full-system simulation Normalized execution time and network latencies: • Average latency has impact in AMAT. • High latencies can degrade execution times if the affected data are critical. 69 5. Router Power and Area Router leakage power and area evaluation: • Buffers are the most consuming part of the router. • Crossbars and allocators grew quadratically with the number of ports. • The load in these simulations is low. Hence, the leakage power is the dominant one. 70
  • 36. 5. Router Power and Area Network leakage power evaluation: • FBFLY can manage higher concentrations because its higher BB. 71 5. OmpSs vs. pThreads 72