SlideShare ist ein Scribd-Unternehmen logo
1 von 40
Downloaden Sie, um offline zu lesen
Designing HPC
Architectures at the
Barcelona Super-
computing Center
Miquel Moretó
Senior Researcher
28/Nov/2018 Universidad Complutense de Madrid
Barcelona Supercomputing Center
Centro Nacional de Supercomputación
Spanish Government 60%
Catalan Government 30%
Univ. Politècnica de Catalunya (UPC) 10%
BSC-CNS is
a consortium
that includes
BSC-CNS objectives
Supercomputing services
to Spanish and
EU researchers
R&D in Computer,
Life, Earth and
Engineering Sciences
PhD programme,
technology transfer,
public engagement
People and Resources Data as of 31st of December 2017
Mission of BSC Scientific Departments
Earth
Sciences
CASE
Computer
Sciences
Life
Sciences
To influence the way machines are built,
programmed and used: programming models,
performance tools, Big Data, computer
architecture, energy efficiency
To develop and implement global and
regional state-of-the-art models for short-
term air quality forecast and long-term
climate applications
To understand living organisms by means of
theoretical and computational methods
(molecular modeling, genomics, proteomics)
To develop scientific and engineering software
to efficiently exploit super-computing capabilities
(biomedical, geophysics, atmospheric, energy,
social and economic simulations)
BSC & The Global IT Industry 2018
MareNostrum4
Total peak performance: 13,7 Pflops
General Purpose Cluster: 11.15 Pflops (1.07.2017)
CTE1-P9+Volta: 1.57 Pflops (1.03.2018)
CTE2-Arm V8: 0.5 Pflops (????)
CTE3-KNH?: 0.5 Pflops (????)
MareNostrum 1
2004 – 42,3 Tflops
1st Europe / 4th World
New technologies
MareNostrum 2
2006 – 94,2 Tflops
1st Europe / 5th World
New technologies
MareNostrum 3
2012 – 1,1 Pflops
12th Europe / 36th World
MareNostrum 4
2017 – 11,1 Pflops
2nd Europe / 13th World
New technologies
HPC Performance Evolution from the Top500
0,1
1
10
100
1000
10000
100000
1000000
10000000
100000000
1E+09
1994 1996 1998 2000 2002 2004 2006 2008 2010 2012 2014 2016
59.7 GFlop/s
400
MFlop/s
1.17 TFlop/s
93
PFlop/s
286
TFlop/s
567 PFlop/s
SUM
N=1
N=500
1 Gflop/s
1 Tflop/s
100 Mflop/s
100 Gflop/s
100 Tflop/s
10 Gflop/s
10 Tflop/s
1 Pflop/s
100 Pflop/s
10 Pflop/s
1 Eflop/s
According to HPL According to HPCG benchmark
Top10 in Top500 list
Rank Name Country Rmax Rpeak
%
Efficiency
1
Sunway
TaihuLight
China 93,015 125,436 74.15 %
2 Tianhe-2
China
33,863 54,902
61.68%
3 Piz Daint
Switzerland
19,590 25,326
77.35%
4 Gyoukou
Japan
19,135 28,192
67.87%
5 Titan
US
17,590 27,113
64.87%
6 Sequoia US 17,173 20,133 85.30%
7 Trinity US 14,137 43,902 32.20%
8 Cori US 14,015 27,881 50.27%
9
Oakforest-
PACS
Japan 13,555 24,913 54.41%
10 K Computer Japan 10,510 11,280 93.17%
16
Mare
nostrum
Spain
6,471
10,296 62,85%
Rank Name Rmax Rpeak
%
Efficiency
1 K Computer 603 11,280 5.34%
2 Tianhe-2 580 54,902 1.06%
3 Trinity 546
43,902
1.24%
4 Piz Daint 486
25,326
1.92%
5
Sunway
TaihuLight
481
125,436
0.38%
6
Oakforest-
PACS
385
24,913
1.54%
7 Cori 355
27,881
1.27%
8 Sequoia 330
20,133
1.64%
9 Titan 322
27,113
1.19%
10 Mira 167 10,066 1.66%
15
Mare
Nostrum
122 10,296 1,18%
Current HPC systems suffer from
significant performance inefficiencies
MN3 (2012) vs MN4 (2017): Are applications
really faster with new processors?
Application Cores Performance
WRF
256 1.37
128 1.06
GROMACS
1024
192 1.19
NAMD
2048 1.31
1024 1.17
728 1.25
512 1.20
VASP
64 2.2
32 2.0
HPL
96 2.24
48 2.21
Performance of new processors
marginally increases
The MultiCore Era
Moore’s Law + Memory Wall + Power Wall
UltraSPARC T2
(2007)
Intel Xeon
7100 (2006)
POWER4
(2001)
Chip MultiProcessors (CMPs)
How Multicores Were Designed at the Beginning?
IBM Power4 (2001)
• 2 cores, ST
• 0.7 MB/core L2,
16MB/core L3 (off-chip)
• 115W TDP
• 10GB/s mem BW
IBM Power7 (2010)
• 8 cores, SMT4
• 256 KB/core L2
16MB/core L3 (on-chip)
• 170W TDP
• 100GB/s mem BW
IBM Power8 (2014)
• 12 cores, SMT8
• 512 KB/core L2
8MB/core L3 (on-chip)
• 250W TDP
• 410GB/s mem BW
The efforts are
focused on
efficiently using the
underlying
hardware
ISA / API
Vision in the Programming Revolution
Need to decouple again
General purpose
Single address space
Application logic
Arch. independentApplications
Power to the runtime
PM: High-level, clean, abstract interface
ISA / API
Runtime Aware Architectures
• The runtime drives the hardware design
• Tight collaboration between software and hardware layers
Applications
Runtime
PM: High-level, clean, abstract interface
Task based PM
annotated by the user
Data dependencies
detected at runtime
Dynamic scheduling
“Reuse” architectural
ideas under
new constraints
Research Lines
(2013 – 2018)
• Management of hybrid memory hierarchies with scratchpad
memories (ISCA’15, PACT’15) and stacked DRAMs (ICS’18)
• Runtime Exploitation of Data Locality (PACT’16, TPDS’18, SC’18)
• Exploiting the Task Dependency Graph (TDG) to reduce data
movements (ICS’18)
• Architectural Support for Task-dependence Management
(IPDPS’17, HPCA’18)
• Criticality-aware task scheduling (ICS’15) and acceleration
(IPDPS’16)
• Approximate Task Memoization (IPDPS’17)
• Vector Extensions to Optimize DBMS (Micro´12, HPCA´15, ISCA´16)
• Dealing with Variation due to Hardware Manufacturing (ICS’16)
The International Exascale Challenge
• Exascale computing: 1018 FLOPS
• 150x faster than MareNostrum 4
• Sustained real life application performances, not just Linpack…
• Exascale will not just allow present solutions to run faster, but
will enable new solutions not affordable with today HPC
technology
• From simulation to high predictability for precise medicine,
energy, climate change, autonomous driven vehicles…
• The International context (US, China, Japan and EU…)
• The European HPC programme
• The European Processor Initiative (EPI)
• BSC role
Worldwide HPC roadmaps
From Tianhe-2..
…to Tianhe-2A
with domestic
technology.
From K computer…
… to Post K
with domestic
technology.
From the PPP for
HPC…
to future PRACE
systems…
…with domestic
technology
with domestic
technology.
IPCEI on HPC
?
World Top 20 machines (status November 2017)
Europe has only 4 machines in world top 20
■ Italy (CINECA) – Nr 14
■ UK (Meteorological office) – Nr 15
■ Spain (BSC, Barcelona) – Nr 16
■ Germany (HLRS, Stuttgart) – Nr 19
EU not in HPC world leaders
EU HPC Ecosystem
• Specifications of exascale prototypes
• Technological options for future systems
• Identify applications for co-
design of exascale systems
• Innovative methods and
algorithms for extreme
parallelism of traditional &
emerging applications
• Collaboration of HPC
Supercomputing
Centres and application CoEs
• Provision of HPC capabilities
and expertise
Centers of Excellence in HPC applications
HPC
Ecosystem
EXDCI
Eurolab-4-HPC
ExCAPE
GreenFLASH
READEX
ESCAPE
INTERTWINE
ALLScale
ANTAREX
ExaFLOW
ComPat
NLAFET
ExaHYPE
NEXTGenIO
SAGE
ExaNEST
ExaNoDe
ECOSCALE
EXTRA
Mont-Blanc 3
Mango
HPC R&D: H2020 current landscape
BioExcel COEGSS EoCoE E-CAM ESiWACE MAX NOMAD PoP
Centres of Excellence
Biomolecular
Global
systems
Energy Simulation
Modelling
Weather
Climate
Materials Performance
optimisation
Computational
Biomedicine
CompBioMed
FET & e-Infra Calls WP2014-2015
Mont-Blanc 3
Mont-Blanc 2
Mont-Blanc
Mont-Blanc projects in a glance
Vision: to leverage the fast growing market of mobile technology for scientific
computation, HPC and non-HPC workloads
2012 2013 2014 20162015 2017 2018
MB2020
started!
BSC contributions in Mont-Blanc
Scientific applications
• Porting and benchmarking
of mini-apps and full scale
applications
• Scalability study on real
ARM-based platforms
Mont-Blanc HPC Stack for Arm
Industrial applications
System software
Hardware
Applications
A big challenge, and a huge opportunity for Europe
• Extend current mobile chips with the needed HPC features
• Explore the use vector architectures in mobile accelerators (vector processor ARM-based, 15+
Teraflops chip, 150 watts)… unique opportunity for Europe
• One design for all market segments: mobile, data centers, supercomputers
2011 2012 2013 2014 2015 2016 2017
256 nodes
250 GFLOPS
1.7 Kwatt
120 TFLOPS
80 Kwatt
200 PFLOPS
~10 MWatt
Built with the best
of the market
Built with the best
that is coming
What is the best
that we could do?
GFLOPS/W
Integrated
ARM + GPU
Mont-Blanc 3: Dibona test platform
IB EDR switches
Internal Ethernet
management network
Redundant
management server
including storagePower supply units
Hydraulics for Direct
Liquid Cooling (ultra-
energy efficient with
hot water cooling)
48 computes nodes:
16 blades
96 CPUs
3000 cores
12000 threads
Dibona Processor: Cavium ThunderX2
Interconnect
Mezzanine
1U form factor
Direct liquid cooling
3 compute nodes per blade
with:
• 2 Cavium ThunderX2
processors (up to 32
Armv8 cores per CPU, 4
threads per core, up to
2.5GHz)
• 16 DDR4 DIMM slots
• 1 Interconnect mezzanine
board (EDR)
Cavium® ThunderX2™
Mont-Blanc 2020: Architectural Vision
32 Compute
Clusters
Shared Last-Level
Cache
HBM
8GB
DDR (128GB)
DDR (128GB)
HBM
8GB
MC
MC
MC
MC
MC
MC
MC
MC MC
HBM
8GB
MC
MC
MC
MC
MC
MC
MC
MC
HBM
8GB
DDR (128GB)
DDR (128GB)
MC
MC
MC
Network
Network
BXICCIX
BXICCIX
Designing Mont-Blanc 2020 Compute Clusters
Cluster
Shared L3
(MBs)
Core
SVE DL1
IL1
L2
Core
SVE DL1
IL1
L2
Core
SVE DL1
IL1
L2
Core
SVE DL1
IL1
L2
System Shared
Last Level Cache
(slice of MBs)
Mesh router
BSC and the European Commission
Final plenary panel at ICT -
Innovate, Connect, Transform
conference, 22 October 2015
Lisbon, Portugal.
The transformational impact of excellent science in
research and innovation
Paris, 27 October 2015
European Commission President
Jean-Claude Juncker
"Our ambition is for Europe to become one of
the top 3 world leaders in high-performance
computing by 2020"
The European Commission and HPC
Vice-President Andrus Ansip
"I encourage even more EU countries to
engage in this ambitious endeavour"
• Ministers from seven MS (France, Germany, Italy,
Luxembourg, Netherlands, Portugal and Spain) sign a
declaration to support the next generation of
computing and data infrastructures
Digital Day Rome, 23 March 2017
The EuroHPC Declaration
Declaration signed in Rome, March 23rd, 2017 by:
Agree to work towards the
establishment of a
cooperation framework -
EuroHPC - for acquiring and
deploying an integrated
exascale supercomputing
infrastructure that will be
available across the EU for
scientific communities as well
as public and private partners
France Germany Italy Luxembourg Netherlands Portugal Spain
Belgium Slovenia Bulgaria Switzerland Greece Croatia
Six more countries signed the Declaration:
EuroHPC news:
Europa portal: (January 2018)
http://europa.eu/rapid/press-release_IP-18-64_en.htm
European Processor Initiative (EPI)
• Dates: end 2018 – end 2022
• Budget: 120M€ (+ external funding
to be defined)
• EPI objectives aligned with EuroHPC initiative
• Consortium:
• ATOS/Bull (coordinator), BSC, Infineon, BMW, ST, ExToll, E4,
SemiDynamics, Juelich, ETHZ, CEA, FORTH, Kalray, …
• Define, design and manufacture:
• European general purpose processor  probably based on Arm ISA
• European accelerator  based on RISC-V ISA
• Suitable for multiple markets: HPC, Big Data, automotive,
Internet-of-Things, etc.
• Goal: deploy pre-Exascale (2021) and Exascale systems (2023)
based on EPI technology in selected European Institutions
EPI 23 partners, from research to industry
from consortium to EU high
tech fabless
EU - FPA Semiconductor
EPI
Common
Platform
Fabless company
Industrial hand of EPI
Incorporated by a
couple EPI members
and external investors
1st EPI production
Three streams
General purpose and Common Platform
• Arm SVE or other candidates…
• Bull: System integrator  chip integrator
• Mont-Blanc 2020 Architectural Vision
Accelerator
• RISC-V
• EU design: BSC, CEA, Chalmers, ETHZ, EXTOLL, E4,
FORTH, Fraunhofer, IST, UNIBO, UNIZG, Semidynamics
Automotive
• Infineon, BMW…
2018 2019 2020 2021 2022 2023 2024 2025
CPU?
ACCEL.
HPC System
PreExascale
HPC
Chip &
system
Accel.
Chip &
system
Core
Technolog
y
KeyMarkets
HPC
Chip &
system
Accel.
Chip &
system
Gen 1 Gen 2
HPCCARS
Automotive CPU
Proof of Concept
Gen 3
Automotive CPU
Product
HPC System
Exascale
SGA 3 SGA4SGA 1&2
EPI ROADMAP
RISC-V accelerator vision @ EPI
• High throughput devices
• Long Vectors (a la Cray? A la Cyber205? ...)
• Decouple Front end - Back end engines
• Optimize memory throughput ([Command vector, 98])
• Explicit locality management (long register file)
• ISA is important
• Decouple/hide again hardware details, reuse SW technologies (compilers, OS,…),
• Specific instructions?
• “limited” number of control flows
• Low power: ~ low voltage x ~ low frequency
• Hierarchical Acceleration
• Nesting
• MPI+OpenMP
• Task based, throughput oriented programming approach
• Malleability in application + Dynamic resource (cores, power, BW) management
• Intelligent runtimes & Runtime Aware Architectures
• Architectural support for the runtime
• Accelerator for ML
• Specialized “non Von-Neumann” compute and data motion engines
(neural/stencil)
• Tuned numerical precision
BSC is Hiring
BSC is looking for talented and motivated students and professionals
with expertise in the design and verification of IPs to be integrated into
top-level HPC SoC designs. The immediate responsibilities of this group
will be related to The European Processor Initiative.
PhD students and experienced
professionals (Engineers and/or PhD
holders) wanted for:
• RTL/Microarchitecture
• Verification
• FPGA Design
Find out more:
https://www.bsc.es/join-
us/job-opportunities/103csrre
Or contact: rrhh@bsc.es
MareNostrum 5 in 2023…
Conclusions
• BSC is designing the next generation of supercomputers
• Runtime-Aware Architectures
• Mont-Blanc European project series
• European Processor Initiative (EPI)
• Goal: Ensure technological leadership of Europe for the next
decade in High Performance Computing (HPC)
• We need help from all of you to achieve such an ambitious
goal 
www.bsc.es
THANK YOU!
Please, contact me at:
miquel.moreto@bsc.es

Weitere ähnliche Inhalte

Was ist angesagt?

SAMOS 2018: LEGaTO: first steps towards energy-efficient toolset for heteroge...
SAMOS 2018: LEGaTO: first steps towards energy-efficient toolset for heteroge...SAMOS 2018: LEGaTO: first steps towards energy-efficient toolset for heteroge...
SAMOS 2018: LEGaTO: first steps towards energy-efficient toolset for heteroge...LEGATO project
 
DATE 2020: Design, Automation and Test in Europe Conference
DATE 2020: Design, Automation and Test in Europe ConferenceDATE 2020: Design, Automation and Test in Europe Conference
DATE 2020: Design, Automation and Test in Europe ConferenceLEGATO project
 
01 From K to Fugaku
01 From K to Fugaku01 From K to Fugaku
01 From K to FugakuRCCSRENKEI
 
Gschwind - Software and System Co-Optimization in the Era of Heterogeneous Co...
Gschwind - Software and System Co-Optimization in the Era of Heterogeneous Co...Gschwind - Software and System Co-Optimization in the Era of Heterogeneous Co...
Gschwind - Software and System Co-Optimization in the Era of Heterogeneous Co...Michael Gschwind
 
Enabling Artificial Intelligence - Alison B. Lowndes
Enabling Artificial Intelligence - Alison B. LowndesEnabling Artificial Intelligence - Alison B. Lowndes
Enabling Artificial Intelligence - Alison B. LowndesWithTheBest
 
Fuelling the AI Revolution with Gaming
Fuelling the AI Revolution with GamingFuelling the AI Revolution with Gaming
Fuelling the AI Revolution with GamingAlison B. Lowndes
 
Talk on commercialising space data
Talk on commercialising space data Talk on commercialising space data
Talk on commercialising space data Alison B. Lowndes
 

Was ist angesagt? (7)

SAMOS 2018: LEGaTO: first steps towards energy-efficient toolset for heteroge...
SAMOS 2018: LEGaTO: first steps towards energy-efficient toolset for heteroge...SAMOS 2018: LEGaTO: first steps towards energy-efficient toolset for heteroge...
SAMOS 2018: LEGaTO: first steps towards energy-efficient toolset for heteroge...
 
DATE 2020: Design, Automation and Test in Europe Conference
DATE 2020: Design, Automation and Test in Europe ConferenceDATE 2020: Design, Automation and Test in Europe Conference
DATE 2020: Design, Automation and Test in Europe Conference
 
01 From K to Fugaku
01 From K to Fugaku01 From K to Fugaku
01 From K to Fugaku
 
Gschwind - Software and System Co-Optimization in the Era of Heterogeneous Co...
Gschwind - Software and System Co-Optimization in the Era of Heterogeneous Co...Gschwind - Software and System Co-Optimization in the Era of Heterogeneous Co...
Gschwind - Software and System Co-Optimization in the Era of Heterogeneous Co...
 
Enabling Artificial Intelligence - Alison B. Lowndes
Enabling Artificial Intelligence - Alison B. LowndesEnabling Artificial Intelligence - Alison B. Lowndes
Enabling Artificial Intelligence - Alison B. Lowndes
 
Fuelling the AI Revolution with Gaming
Fuelling the AI Revolution with GamingFuelling the AI Revolution with Gaming
Fuelling the AI Revolution with Gaming
 
Talk on commercialising space data
Talk on commercialising space data Talk on commercialising space data
Talk on commercialising space data
 

Ähnlich wie Designing HPC Architectures at the Barcelona Supercomputing Center

European Processor Initiative & RISC-V
European Processor Initiative & RISC-VEuropean Processor Initiative & RISC-V
European Processor Initiative & RISC-Vinside-BigData.com
 
European Processor Initiative & RISC-V
European Processor Initiative & RISC-VEuropean Processor Initiative & RISC-V
European Processor Initiative & RISC-Vinside-BigData.com
 
El nuevo superordenador Mare Nostrum y el futuro procesador europeo
El nuevo superordenador Mare Nostrum y el futuro procesador europeoEl nuevo superordenador Mare Nostrum y el futuro procesador europeo
El nuevo superordenador Mare Nostrum y el futuro procesador europeoAMETIC
 
CINECA for HCP and e-infrastructures infrastructures
CINECA for HCP and e-infrastructures infrastructuresCINECA for HCP and e-infrastructures infrastructures
CINECA for HCP and e-infrastructures infrastructuresCineca
 
Barcelona Supercomputing Center, Generador de Riqueza
Barcelona Supercomputing Center, Generador de RiquezaBarcelona Supercomputing Center, Generador de Riqueza
Barcelona Supercomputing Center, Generador de RiquezaFacultad de Informática UCM
 
¿Es posible construir el Airbus de la Supercomputación en Europa?
¿Es posible construir el Airbus de la Supercomputación en Europa?¿Es posible construir el Airbus de la Supercomputación en Europa?
¿Es posible construir el Airbus de la Supercomputación en Europa?AMETIC
 
Big Data Everywhere Chicago: High Performance Computing - Contributions Towar...
Big Data Everywhere Chicago: High Performance Computing - Contributions Towar...Big Data Everywhere Chicago: High Performance Computing - Contributions Towar...
Big Data Everywhere Chicago: High Performance Computing - Contributions Towar...BigDataEverywhere
 
Evolving Cyberinfrastructure, Democratizing Data, and Scaling AI to Catalyze ...
Evolving Cyberinfrastructure, Democratizing Data, and Scaling AI to Catalyze ...Evolving Cyberinfrastructure, Democratizing Data, and Scaling AI to Catalyze ...
Evolving Cyberinfrastructure, Democratizing Data, and Scaling AI to Catalyze ...inside-BigData.com
 
Stories About Spark, HPC and Barcelona by Jordi Torres
Stories About Spark, HPC and Barcelona by Jordi TorresStories About Spark, HPC and Barcelona by Jordi Torres
Stories About Spark, HPC and Barcelona by Jordi TorresSpark Summit
 
A Library for Emerging High-Performance Computing Clusters
A Library for Emerging High-Performance Computing ClustersA Library for Emerging High-Performance Computing Clusters
A Library for Emerging High-Performance Computing ClustersIntel® Software
 
Necesidades de supercomputacion en las empresas españolas
Necesidades de supercomputacion en las empresas españolasNecesidades de supercomputacion en las empresas españolas
Necesidades de supercomputacion en las empresas españolasCein
 
DRAC: Designing RISC-V-based Accelerators for next generation Computers
DRAC: Designing RISC-V-based Accelerators for next generation ComputersDRAC: Designing RISC-V-based Accelerators for next generation Computers
DRAC: Designing RISC-V-based Accelerators for next generation ComputersFacultad de Informática UCM
 
Optalysis: Disruptive Optical Processing Technology for HPC
Optalysis: Disruptive Optical Processing Technology for HPCOptalysis: Disruptive Optical Processing Technology for HPC
Optalysis: Disruptive Optical Processing Technology for HPCinside-BigData.com
 
Arm A64fx and Post-K: Game-Changing CPU & Supercomputer for HPC, Big Data, & AI
Arm A64fx and Post-K: Game-Changing CPU & Supercomputer for HPC, Big Data, & AIArm A64fx and Post-K: Game-Changing CPU & Supercomputer for HPC, Big Data, & AI
Arm A64fx and Post-K: Game-Changing CPU & Supercomputer for HPC, Big Data, & AIinside-BigData.com
 
Communication Frameworks for HPC and Big Data
Communication Frameworks for HPC and Big DataCommunication Frameworks for HPC and Big Data
Communication Frameworks for HPC and Big Datainside-BigData.com
 
Everything as a Service
Everything as a ServiceEverything as a Service
Everything as a Servicejavicid
 

Ähnlich wie Designing HPC Architectures at the Barcelona Supercomputing Center (20)

European Processor Initiative & RISC-V
European Processor Initiative & RISC-VEuropean Processor Initiative & RISC-V
European Processor Initiative & RISC-V
 
European Processor Initiative & RISC-V
European Processor Initiative & RISC-VEuropean Processor Initiative & RISC-V
European Processor Initiative & RISC-V
 
El nuevo superordenador Mare Nostrum y el futuro procesador europeo
El nuevo superordenador Mare Nostrum y el futuro procesador europeoEl nuevo superordenador Mare Nostrum y el futuro procesador europeo
El nuevo superordenador Mare Nostrum y el futuro procesador europeo
 
CINECA for HCP and e-infrastructures infrastructures
CINECA for HCP and e-infrastructures infrastructuresCINECA for HCP and e-infrastructures infrastructures
CINECA for HCP and e-infrastructures infrastructures
 
Barcelona Supercomputing Center, Generador de Riqueza
Barcelona Supercomputing Center, Generador de RiquezaBarcelona Supercomputing Center, Generador de Riqueza
Barcelona Supercomputing Center, Generador de Riqueza
 
¿Es posible construir el Airbus de la Supercomputación en Europa?
¿Es posible construir el Airbus de la Supercomputación en Europa?¿Es posible construir el Airbus de la Supercomputación en Europa?
¿Es posible construir el Airbus de la Supercomputación en Europa?
 
Big Data Everywhere Chicago: High Performance Computing - Contributions Towar...
Big Data Everywhere Chicago: High Performance Computing - Contributions Towar...Big Data Everywhere Chicago: High Performance Computing - Contributions Towar...
Big Data Everywhere Chicago: High Performance Computing - Contributions Towar...
 
HPC Performance tools, on the road to Exascale
HPC Performance tools, on the road to ExascaleHPC Performance tools, on the road to Exascale
HPC Performance tools, on the road to Exascale
 
Evolving Cyberinfrastructure, Democratizing Data, and Scaling AI to Catalyze ...
Evolving Cyberinfrastructure, Democratizing Data, and Scaling AI to Catalyze ...Evolving Cyberinfrastructure, Democratizing Data, and Scaling AI to Catalyze ...
Evolving Cyberinfrastructure, Democratizing Data, and Scaling AI to Catalyze ...
 
Stories About Spark, HPC and Barcelona by Jordi Torres
Stories About Spark, HPC and Barcelona by Jordi TorresStories About Spark, HPC and Barcelona by Jordi Torres
Stories About Spark, HPC and Barcelona by Jordi Torres
 
A Library for Emerging High-Performance Computing Clusters
A Library for Emerging High-Performance Computing ClustersA Library for Emerging High-Performance Computing Clusters
A Library for Emerging High-Performance Computing Clusters
 
Necesidades de supercomputacion en las empresas españolas
Necesidades de supercomputacion en las empresas españolasNecesidades de supercomputacion en las empresas españolas
Necesidades de supercomputacion en las empresas españolas
 
DRAC: Designing RISC-V-based Accelerators for next generation Computers
DRAC: Designing RISC-V-based Accelerators for next generation ComputersDRAC: Designing RISC-V-based Accelerators for next generation Computers
DRAC: Designing RISC-V-based Accelerators for next generation Computers
 
Optalysis: Disruptive Optical Processing Technology for HPC
Optalysis: Disruptive Optical Processing Technology for HPCOptalysis: Disruptive Optical Processing Technology for HPC
Optalysis: Disruptive Optical Processing Technology for HPC
 
Arm A64fx and Post-K: Game-Changing CPU & Supercomputer for HPC, Big Data, & AI
Arm A64fx and Post-K: Game-Changing CPU & Supercomputer for HPC, Big Data, & AIArm A64fx and Post-K: Game-Changing CPU & Supercomputer for HPC, Big Data, & AI
Arm A64fx and Post-K: Game-Changing CPU & Supercomputer for HPC, Big Data, & AI
 
Available HPC Resources at CSUC
Available HPC Resources at CSUCAvailable HPC Resources at CSUC
Available HPC Resources at CSUC
 
Communication Frameworks for HPC and Big Data
Communication Frameworks for HPC and Big DataCommunication Frameworks for HPC and Big Data
Communication Frameworks for HPC and Big Data
 
Available HPC resources at CSUC
Available HPC resources at CSUCAvailable HPC resources at CSUC
Available HPC resources at CSUC
 
Everything as a Service
Everything as a ServiceEverything as a Service
Everything as a Service
 
CINECA HPC Infrastructure
CINECA HPC InfrastructureCINECA HPC Infrastructure
CINECA HPC Infrastructure
 

Mehr von Facultad de Informática UCM

¿Por qué debemos seguir trabajando en álgebra lineal?
¿Por qué debemos seguir trabajando en álgebra lineal?¿Por qué debemos seguir trabajando en álgebra lineal?
¿Por qué debemos seguir trabajando en álgebra lineal?Facultad de Informática UCM
 
TECNOPOLÍTICA Y ACTIVISMO DE DATOS: EL MAPEO COMO FORMA DE RESILIENCIA ANTE L...
TECNOPOLÍTICA Y ACTIVISMO DE DATOS: EL MAPEO COMO FORMA DE RESILIENCIA ANTE L...TECNOPOLÍTICA Y ACTIVISMO DE DATOS: EL MAPEO COMO FORMA DE RESILIENCIA ANTE L...
TECNOPOLÍTICA Y ACTIVISMO DE DATOS: EL MAPEO COMO FORMA DE RESILIENCIA ANTE L...Facultad de Informática UCM
 
Tendencias en el diseño de procesadores con arquitectura Arm
Tendencias en el diseño de procesadores con arquitectura ArmTendencias en el diseño de procesadores con arquitectura Arm
Tendencias en el diseño de procesadores con arquitectura ArmFacultad de Informática UCM
 
Introduction to Quantum Computing and Quantum Service Oriented Computing
Introduction to Quantum Computing and Quantum Service Oriented ComputingIntroduction to Quantum Computing and Quantum Service Oriented Computing
Introduction to Quantum Computing and Quantum Service Oriented ComputingFacultad de Informática UCM
 
Inteligencia Artificial en la atención sanitaria del futuro
Inteligencia Artificial en la atención sanitaria del futuroInteligencia Artificial en la atención sanitaria del futuro
Inteligencia Artificial en la atención sanitaria del futuroFacultad de Informática UCM
 
Design Automation Approaches for Real-Time Edge Computing for Science Applic...
 Design Automation Approaches for Real-Time Edge Computing for Science Applic... Design Automation Approaches for Real-Time Edge Computing for Science Applic...
Design Automation Approaches for Real-Time Edge Computing for Science Applic...Facultad de Informática UCM
 
Estrategias de navegación para robótica móvil de campo: caso de estudio proye...
Estrategias de navegación para robótica móvil de campo: caso de estudio proye...Estrategias de navegación para robótica móvil de campo: caso de estudio proye...
Estrategias de navegación para robótica móvil de campo: caso de estudio proye...Facultad de Informática UCM
 
Fault-tolerance Quantum computation and Quantum Error Correction
Fault-tolerance Quantum computation and Quantum Error CorrectionFault-tolerance Quantum computation and Quantum Error Correction
Fault-tolerance Quantum computation and Quantum Error CorrectionFacultad de Informática UCM
 
Cómo construir un chatbot inteligente sin morir en el intento
Cómo construir un chatbot inteligente sin morir en el intentoCómo construir un chatbot inteligente sin morir en el intento
Cómo construir un chatbot inteligente sin morir en el intentoFacultad de Informática UCM
 
Automatic generation of hardware memory architectures for HPC
Automatic generation of hardware memory architectures for HPCAutomatic generation of hardware memory architectures for HPC
Automatic generation of hardware memory architectures for HPCFacultad de Informática UCM
 
Hardware/software security contracts: Principled foundations for building sec...
Hardware/software security contracts: Principled foundations for building sec...Hardware/software security contracts: Principled foundations for building sec...
Hardware/software security contracts: Principled foundations for building sec...Facultad de Informática UCM
 
Jose carlossancho slidesLa seguridad en el desarrollo de software implementad...
Jose carlossancho slidesLa seguridad en el desarrollo de software implementad...Jose carlossancho slidesLa seguridad en el desarrollo de software implementad...
Jose carlossancho slidesLa seguridad en el desarrollo de software implementad...Facultad de Informática UCM
 
Redes neuronales y reinforcement learning. Aplicación en energía eólica.
Redes neuronales y reinforcement learning. Aplicación en energía eólica.Redes neuronales y reinforcement learning. Aplicación en energía eólica.
Redes neuronales y reinforcement learning. Aplicación en energía eólica.Facultad de Informática UCM
 
Challenges and Opportunities for AI and Data analytics in Offshore wind
Challenges and Opportunities for AI and Data analytics in Offshore windChallenges and Opportunities for AI and Data analytics in Offshore wind
Challenges and Opportunities for AI and Data analytics in Offshore windFacultad de Informática UCM
 
Evolution and Trends in Edge AI Systems and Architectures for the Internet of...
Evolution and Trends in Edge AI Systems and Architectures for the Internet of...Evolution and Trends in Edge AI Systems and Architectures for the Internet of...
Evolution and Trends in Edge AI Systems and Architectures for the Internet of...Facultad de Informática UCM
 

Mehr von Facultad de Informática UCM (20)

¿Por qué debemos seguir trabajando en álgebra lineal?
¿Por qué debemos seguir trabajando en álgebra lineal?¿Por qué debemos seguir trabajando en álgebra lineal?
¿Por qué debemos seguir trabajando en álgebra lineal?
 
TECNOPOLÍTICA Y ACTIVISMO DE DATOS: EL MAPEO COMO FORMA DE RESILIENCIA ANTE L...
TECNOPOLÍTICA Y ACTIVISMO DE DATOS: EL MAPEO COMO FORMA DE RESILIENCIA ANTE L...TECNOPOLÍTICA Y ACTIVISMO DE DATOS: EL MAPEO COMO FORMA DE RESILIENCIA ANTE L...
TECNOPOLÍTICA Y ACTIVISMO DE DATOS: EL MAPEO COMO FORMA DE RESILIENCIA ANTE L...
 
uElectronics ongoing activities at ESA
uElectronics ongoing activities at ESAuElectronics ongoing activities at ESA
uElectronics ongoing activities at ESA
 
Tendencias en el diseño de procesadores con arquitectura Arm
Tendencias en el diseño de procesadores con arquitectura ArmTendencias en el diseño de procesadores con arquitectura Arm
Tendencias en el diseño de procesadores con arquitectura Arm
 
Formalizing Mathematics in Lean
Formalizing Mathematics in LeanFormalizing Mathematics in Lean
Formalizing Mathematics in Lean
 
Introduction to Quantum Computing and Quantum Service Oriented Computing
Introduction to Quantum Computing and Quantum Service Oriented ComputingIntroduction to Quantum Computing and Quantum Service Oriented Computing
Introduction to Quantum Computing and Quantum Service Oriented Computing
 
Computer Design Concepts for Machine Learning
Computer Design Concepts for Machine LearningComputer Design Concepts for Machine Learning
Computer Design Concepts for Machine Learning
 
Inteligencia Artificial en la atención sanitaria del futuro
Inteligencia Artificial en la atención sanitaria del futuroInteligencia Artificial en la atención sanitaria del futuro
Inteligencia Artificial en la atención sanitaria del futuro
 
Design Automation Approaches for Real-Time Edge Computing for Science Applic...
 Design Automation Approaches for Real-Time Edge Computing for Science Applic... Design Automation Approaches for Real-Time Edge Computing for Science Applic...
Design Automation Approaches for Real-Time Edge Computing for Science Applic...
 
Estrategias de navegación para robótica móvil de campo: caso de estudio proye...
Estrategias de navegación para robótica móvil de campo: caso de estudio proye...Estrategias de navegación para robótica móvil de campo: caso de estudio proye...
Estrategias de navegación para robótica móvil de campo: caso de estudio proye...
 
Fault-tolerance Quantum computation and Quantum Error Correction
Fault-tolerance Quantum computation and Quantum Error CorrectionFault-tolerance Quantum computation and Quantum Error Correction
Fault-tolerance Quantum computation and Quantum Error Correction
 
Cómo construir un chatbot inteligente sin morir en el intento
Cómo construir un chatbot inteligente sin morir en el intentoCómo construir un chatbot inteligente sin morir en el intento
Cómo construir un chatbot inteligente sin morir en el intento
 
Automatic generation of hardware memory architectures for HPC
Automatic generation of hardware memory architectures for HPCAutomatic generation of hardware memory architectures for HPC
Automatic generation of hardware memory architectures for HPC
 
Type and proof structures for concurrency
Type and proof structures for concurrencyType and proof structures for concurrency
Type and proof structures for concurrency
 
Hardware/software security contracts: Principled foundations for building sec...
Hardware/software security contracts: Principled foundations for building sec...Hardware/software security contracts: Principled foundations for building sec...
Hardware/software security contracts: Principled foundations for building sec...
 
Jose carlossancho slidesLa seguridad en el desarrollo de software implementad...
Jose carlossancho slidesLa seguridad en el desarrollo de software implementad...Jose carlossancho slidesLa seguridad en el desarrollo de software implementad...
Jose carlossancho slidesLa seguridad en el desarrollo de software implementad...
 
Do you trust your artificial intelligence system?
Do you trust your artificial intelligence system?Do you trust your artificial intelligence system?
Do you trust your artificial intelligence system?
 
Redes neuronales y reinforcement learning. Aplicación en energía eólica.
Redes neuronales y reinforcement learning. Aplicación en energía eólica.Redes neuronales y reinforcement learning. Aplicación en energía eólica.
Redes neuronales y reinforcement learning. Aplicación en energía eólica.
 
Challenges and Opportunities for AI and Data analytics in Offshore wind
Challenges and Opportunities for AI and Data analytics in Offshore windChallenges and Opportunities for AI and Data analytics in Offshore wind
Challenges and Opportunities for AI and Data analytics in Offshore wind
 
Evolution and Trends in Edge AI Systems and Architectures for the Internet of...
Evolution and Trends in Edge AI Systems and Architectures for the Internet of...Evolution and Trends in Edge AI Systems and Architectures for the Internet of...
Evolution and Trends in Edge AI Systems and Architectures for the Internet of...
 

Kürzlich hochgeladen

CS 3251 Programming in c all unit notes pdf
CS 3251 Programming in c all unit notes pdfCS 3251 Programming in c all unit notes pdf
CS 3251 Programming in c all unit notes pdfBalamuruganV28
 
Levelling - Rise and fall - Height of instrument method
Levelling - Rise and fall - Height of instrument methodLevelling - Rise and fall - Height of instrument method
Levelling - Rise and fall - Height of instrument methodManicka Mamallan Andavar
 
Python Programming for basic beginners.pptx
Python Programming for basic beginners.pptxPython Programming for basic beginners.pptx
Python Programming for basic beginners.pptxmohitesoham12
 
KCD Costa Rica 2024 - Nephio para parvulitos
KCD Costa Rica 2024 - Nephio para parvulitosKCD Costa Rica 2024 - Nephio para parvulitos
KCD Costa Rica 2024 - Nephio para parvulitosVictor Morales
 
Artificial Intelligence in Power System overview
Artificial Intelligence in Power System overviewArtificial Intelligence in Power System overview
Artificial Intelligence in Power System overviewsandhya757531
 
Earthing details of Electrical Substation
Earthing details of Electrical SubstationEarthing details of Electrical Substation
Earthing details of Electrical Substationstephanwindworld
 
Robotics Group 10 (Control Schemes) cse.pdf
Robotics Group 10  (Control Schemes) cse.pdfRobotics Group 10  (Control Schemes) cse.pdf
Robotics Group 10 (Control Schemes) cse.pdfsahilsajad201
 
US Department of Education FAFSA Week of Action
US Department of Education FAFSA Week of ActionUS Department of Education FAFSA Week of Action
US Department of Education FAFSA Week of ActionMebane Rash
 
『澳洲文凭』买麦考瑞大学毕业证书成绩单办理澳洲Macquarie文凭学位证书
『澳洲文凭』买麦考瑞大学毕业证书成绩单办理澳洲Macquarie文凭学位证书『澳洲文凭』买麦考瑞大学毕业证书成绩单办理澳洲Macquarie文凭学位证书
『澳洲文凭』买麦考瑞大学毕业证书成绩单办理澳洲Macquarie文凭学位证书rnrncn29
 
Cost estimation approach: FP to COCOMO scenario based question
Cost estimation approach: FP to COCOMO scenario based questionCost estimation approach: FP to COCOMO scenario based question
Cost estimation approach: FP to COCOMO scenario based questionSneha Padhiar
 
Turn leadership mistakes into a better future.pptx
Turn leadership mistakes into a better future.pptxTurn leadership mistakes into a better future.pptx
Turn leadership mistakes into a better future.pptxStephen Sitton
 
Engineering Drawing section of solid
Engineering Drawing     section of solidEngineering Drawing     section of solid
Engineering Drawing section of solidnamansinghjarodiya
 
CME 397 - SURFACE ENGINEERING - UNIT 1 FULL NOTES
CME 397 - SURFACE ENGINEERING - UNIT 1 FULL NOTESCME 397 - SURFACE ENGINEERING - UNIT 1 FULL NOTES
CME 397 - SURFACE ENGINEERING - UNIT 1 FULL NOTESkarthi keyan
 
Research Methodology for Engineering pdf
Research Methodology for Engineering pdfResearch Methodology for Engineering pdf
Research Methodology for Engineering pdfCaalaaAbdulkerim
 
Computer Graphics Introduction, Open GL, Line and Circle drawing algorithm
Computer Graphics Introduction, Open GL, Line and Circle drawing algorithmComputer Graphics Introduction, Open GL, Line and Circle drawing algorithm
Computer Graphics Introduction, Open GL, Line and Circle drawing algorithmDeepika Walanjkar
 
THE SENDAI FRAMEWORK FOR DISASTER RISK REDUCTION
THE SENDAI FRAMEWORK FOR DISASTER RISK REDUCTIONTHE SENDAI FRAMEWORK FOR DISASTER RISK REDUCTION
THE SENDAI FRAMEWORK FOR DISASTER RISK REDUCTIONjhunlian
 
TechTAC® CFD Report Summary: A Comparison of Two Types of Tubing Anchor Catchers
TechTAC® CFD Report Summary: A Comparison of Two Types of Tubing Anchor CatchersTechTAC® CFD Report Summary: A Comparison of Two Types of Tubing Anchor Catchers
TechTAC® CFD Report Summary: A Comparison of Two Types of Tubing Anchor Catcherssdickerson1
 
Gravity concentration_MI20612MI_________
Gravity concentration_MI20612MI_________Gravity concentration_MI20612MI_________
Gravity concentration_MI20612MI_________Romil Mishra
 
Immutable Image-Based Operating Systems - EW2024.pdf
Immutable Image-Based Operating Systems - EW2024.pdfImmutable Image-Based Operating Systems - EW2024.pdf
Immutable Image-Based Operating Systems - EW2024.pdfDrew Moseley
 
Katarzyna Lipka-Sidor - BIM School Course
Katarzyna Lipka-Sidor - BIM School CourseKatarzyna Lipka-Sidor - BIM School Course
Katarzyna Lipka-Sidor - BIM School Coursebim.edu.pl
 

Kürzlich hochgeladen (20)

CS 3251 Programming in c all unit notes pdf
CS 3251 Programming in c all unit notes pdfCS 3251 Programming in c all unit notes pdf
CS 3251 Programming in c all unit notes pdf
 
Levelling - Rise and fall - Height of instrument method
Levelling - Rise and fall - Height of instrument methodLevelling - Rise and fall - Height of instrument method
Levelling - Rise and fall - Height of instrument method
 
Python Programming for basic beginners.pptx
Python Programming for basic beginners.pptxPython Programming for basic beginners.pptx
Python Programming for basic beginners.pptx
 
KCD Costa Rica 2024 - Nephio para parvulitos
KCD Costa Rica 2024 - Nephio para parvulitosKCD Costa Rica 2024 - Nephio para parvulitos
KCD Costa Rica 2024 - Nephio para parvulitos
 
Artificial Intelligence in Power System overview
Artificial Intelligence in Power System overviewArtificial Intelligence in Power System overview
Artificial Intelligence in Power System overview
 
Earthing details of Electrical Substation
Earthing details of Electrical SubstationEarthing details of Electrical Substation
Earthing details of Electrical Substation
 
Robotics Group 10 (Control Schemes) cse.pdf
Robotics Group 10  (Control Schemes) cse.pdfRobotics Group 10  (Control Schemes) cse.pdf
Robotics Group 10 (Control Schemes) cse.pdf
 
US Department of Education FAFSA Week of Action
US Department of Education FAFSA Week of ActionUS Department of Education FAFSA Week of Action
US Department of Education FAFSA Week of Action
 
『澳洲文凭』买麦考瑞大学毕业证书成绩单办理澳洲Macquarie文凭学位证书
『澳洲文凭』买麦考瑞大学毕业证书成绩单办理澳洲Macquarie文凭学位证书『澳洲文凭』买麦考瑞大学毕业证书成绩单办理澳洲Macquarie文凭学位证书
『澳洲文凭』买麦考瑞大学毕业证书成绩单办理澳洲Macquarie文凭学位证书
 
Cost estimation approach: FP to COCOMO scenario based question
Cost estimation approach: FP to COCOMO scenario based questionCost estimation approach: FP to COCOMO scenario based question
Cost estimation approach: FP to COCOMO scenario based question
 
Turn leadership mistakes into a better future.pptx
Turn leadership mistakes into a better future.pptxTurn leadership mistakes into a better future.pptx
Turn leadership mistakes into a better future.pptx
 
Engineering Drawing section of solid
Engineering Drawing     section of solidEngineering Drawing     section of solid
Engineering Drawing section of solid
 
CME 397 - SURFACE ENGINEERING - UNIT 1 FULL NOTES
CME 397 - SURFACE ENGINEERING - UNIT 1 FULL NOTESCME 397 - SURFACE ENGINEERING - UNIT 1 FULL NOTES
CME 397 - SURFACE ENGINEERING - UNIT 1 FULL NOTES
 
Research Methodology for Engineering pdf
Research Methodology for Engineering pdfResearch Methodology for Engineering pdf
Research Methodology for Engineering pdf
 
Computer Graphics Introduction, Open GL, Line and Circle drawing algorithm
Computer Graphics Introduction, Open GL, Line and Circle drawing algorithmComputer Graphics Introduction, Open GL, Line and Circle drawing algorithm
Computer Graphics Introduction, Open GL, Line and Circle drawing algorithm
 
THE SENDAI FRAMEWORK FOR DISASTER RISK REDUCTION
THE SENDAI FRAMEWORK FOR DISASTER RISK REDUCTIONTHE SENDAI FRAMEWORK FOR DISASTER RISK REDUCTION
THE SENDAI FRAMEWORK FOR DISASTER RISK REDUCTION
 
TechTAC® CFD Report Summary: A Comparison of Two Types of Tubing Anchor Catchers
TechTAC® CFD Report Summary: A Comparison of Two Types of Tubing Anchor CatchersTechTAC® CFD Report Summary: A Comparison of Two Types of Tubing Anchor Catchers
TechTAC® CFD Report Summary: A Comparison of Two Types of Tubing Anchor Catchers
 
Gravity concentration_MI20612MI_________
Gravity concentration_MI20612MI_________Gravity concentration_MI20612MI_________
Gravity concentration_MI20612MI_________
 
Immutable Image-Based Operating Systems - EW2024.pdf
Immutable Image-Based Operating Systems - EW2024.pdfImmutable Image-Based Operating Systems - EW2024.pdf
Immutable Image-Based Operating Systems - EW2024.pdf
 
Katarzyna Lipka-Sidor - BIM School Course
Katarzyna Lipka-Sidor - BIM School CourseKatarzyna Lipka-Sidor - BIM School Course
Katarzyna Lipka-Sidor - BIM School Course
 

Designing HPC Architectures at the Barcelona Supercomputing Center

  • 1. Designing HPC Architectures at the Barcelona Super- computing Center Miquel Moretó Senior Researcher 28/Nov/2018 Universidad Complutense de Madrid
  • 2. Barcelona Supercomputing Center Centro Nacional de Supercomputación Spanish Government 60% Catalan Government 30% Univ. Politècnica de Catalunya (UPC) 10% BSC-CNS is a consortium that includes BSC-CNS objectives Supercomputing services to Spanish and EU researchers R&D in Computer, Life, Earth and Engineering Sciences PhD programme, technology transfer, public engagement
  • 3. People and Resources Data as of 31st of December 2017
  • 4. Mission of BSC Scientific Departments Earth Sciences CASE Computer Sciences Life Sciences To influence the way machines are built, programmed and used: programming models, performance tools, Big Data, computer architecture, energy efficiency To develop and implement global and regional state-of-the-art models for short- term air quality forecast and long-term climate applications To understand living organisms by means of theoretical and computational methods (molecular modeling, genomics, proteomics) To develop scientific and engineering software to efficiently exploit super-computing capabilities (biomedical, geophysics, atmospheric, energy, social and economic simulations)
  • 5. BSC & The Global IT Industry 2018
  • 6. MareNostrum4 Total peak performance: 13,7 Pflops General Purpose Cluster: 11.15 Pflops (1.07.2017) CTE1-P9+Volta: 1.57 Pflops (1.03.2018) CTE2-Arm V8: 0.5 Pflops (????) CTE3-KNH?: 0.5 Pflops (????) MareNostrum 1 2004 – 42,3 Tflops 1st Europe / 4th World New technologies MareNostrum 2 2006 – 94,2 Tflops 1st Europe / 5th World New technologies MareNostrum 3 2012 – 1,1 Pflops 12th Europe / 36th World MareNostrum 4 2017 – 11,1 Pflops 2nd Europe / 13th World New technologies
  • 7. HPC Performance Evolution from the Top500 0,1 1 10 100 1000 10000 100000 1000000 10000000 100000000 1E+09 1994 1996 1998 2000 2002 2004 2006 2008 2010 2012 2014 2016 59.7 GFlop/s 400 MFlop/s 1.17 TFlop/s 93 PFlop/s 286 TFlop/s 567 PFlop/s SUM N=1 N=500 1 Gflop/s 1 Tflop/s 100 Mflop/s 100 Gflop/s 100 Tflop/s 10 Gflop/s 10 Tflop/s 1 Pflop/s 100 Pflop/s 10 Pflop/s 1 Eflop/s
  • 8. According to HPL According to HPCG benchmark Top10 in Top500 list Rank Name Country Rmax Rpeak % Efficiency 1 Sunway TaihuLight China 93,015 125,436 74.15 % 2 Tianhe-2 China 33,863 54,902 61.68% 3 Piz Daint Switzerland 19,590 25,326 77.35% 4 Gyoukou Japan 19,135 28,192 67.87% 5 Titan US 17,590 27,113 64.87% 6 Sequoia US 17,173 20,133 85.30% 7 Trinity US 14,137 43,902 32.20% 8 Cori US 14,015 27,881 50.27% 9 Oakforest- PACS Japan 13,555 24,913 54.41% 10 K Computer Japan 10,510 11,280 93.17% 16 Mare nostrum Spain 6,471 10,296 62,85% Rank Name Rmax Rpeak % Efficiency 1 K Computer 603 11,280 5.34% 2 Tianhe-2 580 54,902 1.06% 3 Trinity 546 43,902 1.24% 4 Piz Daint 486 25,326 1.92% 5 Sunway TaihuLight 481 125,436 0.38% 6 Oakforest- PACS 385 24,913 1.54% 7 Cori 355 27,881 1.27% 8 Sequoia 330 20,133 1.64% 9 Titan 322 27,113 1.19% 10 Mira 167 10,066 1.66% 15 Mare Nostrum 122 10,296 1,18% Current HPC systems suffer from significant performance inefficiencies
  • 9. MN3 (2012) vs MN4 (2017): Are applications really faster with new processors? Application Cores Performance WRF 256 1.37 128 1.06 GROMACS 1024 192 1.19 NAMD 2048 1.31 1024 1.17 728 1.25 512 1.20 VASP 64 2.2 32 2.0 HPL 96 2.24 48 2.21 Performance of new processors marginally increases
  • 10. The MultiCore Era Moore’s Law + Memory Wall + Power Wall UltraSPARC T2 (2007) Intel Xeon 7100 (2006) POWER4 (2001) Chip MultiProcessors (CMPs)
  • 11. How Multicores Were Designed at the Beginning? IBM Power4 (2001) • 2 cores, ST • 0.7 MB/core L2, 16MB/core L3 (off-chip) • 115W TDP • 10GB/s mem BW IBM Power7 (2010) • 8 cores, SMT4 • 256 KB/core L2 16MB/core L3 (on-chip) • 170W TDP • 100GB/s mem BW IBM Power8 (2014) • 12 cores, SMT8 • 512 KB/core L2 8MB/core L3 (on-chip) • 250W TDP • 410GB/s mem BW
  • 12. The efforts are focused on efficiently using the underlying hardware ISA / API Vision in the Programming Revolution Need to decouple again General purpose Single address space Application logic Arch. independentApplications Power to the runtime PM: High-level, clean, abstract interface
  • 13. ISA / API Runtime Aware Architectures • The runtime drives the hardware design • Tight collaboration between software and hardware layers Applications Runtime PM: High-level, clean, abstract interface Task based PM annotated by the user Data dependencies detected at runtime Dynamic scheduling “Reuse” architectural ideas under new constraints
  • 14. Research Lines (2013 – 2018) • Management of hybrid memory hierarchies with scratchpad memories (ISCA’15, PACT’15) and stacked DRAMs (ICS’18) • Runtime Exploitation of Data Locality (PACT’16, TPDS’18, SC’18) • Exploiting the Task Dependency Graph (TDG) to reduce data movements (ICS’18) • Architectural Support for Task-dependence Management (IPDPS’17, HPCA’18) • Criticality-aware task scheduling (ICS’15) and acceleration (IPDPS’16) • Approximate Task Memoization (IPDPS’17) • Vector Extensions to Optimize DBMS (Micro´12, HPCA´15, ISCA´16) • Dealing with Variation due to Hardware Manufacturing (ICS’16)
  • 15. The International Exascale Challenge • Exascale computing: 1018 FLOPS • 150x faster than MareNostrum 4 • Sustained real life application performances, not just Linpack… • Exascale will not just allow present solutions to run faster, but will enable new solutions not affordable with today HPC technology • From simulation to high predictability for precise medicine, energy, climate change, autonomous driven vehicles… • The International context (US, China, Japan and EU…) • The European HPC programme • The European Processor Initiative (EPI) • BSC role
  • 16. Worldwide HPC roadmaps From Tianhe-2.. …to Tianhe-2A with domestic technology. From K computer… … to Post K with domestic technology. From the PPP for HPC… to future PRACE systems… …with domestic technology with domestic technology. IPCEI on HPC ?
  • 17. World Top 20 machines (status November 2017) Europe has only 4 machines in world top 20 ■ Italy (CINECA) – Nr 14 ■ UK (Meteorological office) – Nr 15 ■ Spain (BSC, Barcelona) – Nr 16 ■ Germany (HLRS, Stuttgart) – Nr 19 EU not in HPC world leaders
  • 18. EU HPC Ecosystem • Specifications of exascale prototypes • Technological options for future systems • Identify applications for co- design of exascale systems • Innovative methods and algorithms for extreme parallelism of traditional & emerging applications • Collaboration of HPC Supercomputing Centres and application CoEs • Provision of HPC capabilities and expertise Centers of Excellence in HPC applications
  • 19. HPC Ecosystem EXDCI Eurolab-4-HPC ExCAPE GreenFLASH READEX ESCAPE INTERTWINE ALLScale ANTAREX ExaFLOW ComPat NLAFET ExaHYPE NEXTGenIO SAGE ExaNEST ExaNoDe ECOSCALE EXTRA Mont-Blanc 3 Mango HPC R&D: H2020 current landscape BioExcel COEGSS EoCoE E-CAM ESiWACE MAX NOMAD PoP Centres of Excellence Biomolecular Global systems Energy Simulation Modelling Weather Climate Materials Performance optimisation Computational Biomedicine CompBioMed FET & e-Infra Calls WP2014-2015
  • 20. Mont-Blanc 3 Mont-Blanc 2 Mont-Blanc Mont-Blanc projects in a glance Vision: to leverage the fast growing market of mobile technology for scientific computation, HPC and non-HPC workloads 2012 2013 2014 20162015 2017 2018 MB2020 started!
  • 21. BSC contributions in Mont-Blanc Scientific applications • Porting and benchmarking of mini-apps and full scale applications • Scalability study on real ARM-based platforms
  • 22. Mont-Blanc HPC Stack for Arm Industrial applications System software Hardware Applications
  • 23. A big challenge, and a huge opportunity for Europe • Extend current mobile chips with the needed HPC features • Explore the use vector architectures in mobile accelerators (vector processor ARM-based, 15+ Teraflops chip, 150 watts)… unique opportunity for Europe • One design for all market segments: mobile, data centers, supercomputers 2011 2012 2013 2014 2015 2016 2017 256 nodes 250 GFLOPS 1.7 Kwatt 120 TFLOPS 80 Kwatt 200 PFLOPS ~10 MWatt Built with the best of the market Built with the best that is coming What is the best that we could do? GFLOPS/W Integrated ARM + GPU
  • 24. Mont-Blanc 3: Dibona test platform IB EDR switches Internal Ethernet management network Redundant management server including storagePower supply units Hydraulics for Direct Liquid Cooling (ultra- energy efficient with hot water cooling) 48 computes nodes: 16 blades 96 CPUs 3000 cores 12000 threads
  • 25. Dibona Processor: Cavium ThunderX2 Interconnect Mezzanine 1U form factor Direct liquid cooling 3 compute nodes per blade with: • 2 Cavium ThunderX2 processors (up to 32 Armv8 cores per CPU, 4 threads per core, up to 2.5GHz) • 16 DDR4 DIMM slots • 1 Interconnect mezzanine board (EDR) Cavium® ThunderX2™
  • 26. Mont-Blanc 2020: Architectural Vision 32 Compute Clusters Shared Last-Level Cache HBM 8GB DDR (128GB) DDR (128GB) HBM 8GB MC MC MC MC MC MC MC MC MC HBM 8GB MC MC MC MC MC MC MC MC HBM 8GB DDR (128GB) DDR (128GB) MC MC MC Network Network BXICCIX BXICCIX
  • 27. Designing Mont-Blanc 2020 Compute Clusters Cluster Shared L3 (MBs) Core SVE DL1 IL1 L2 Core SVE DL1 IL1 L2 Core SVE DL1 IL1 L2 Core SVE DL1 IL1 L2 System Shared Last Level Cache (slice of MBs) Mesh router
  • 28. BSC and the European Commission Final plenary panel at ICT - Innovate, Connect, Transform conference, 22 October 2015 Lisbon, Portugal. The transformational impact of excellent science in research and innovation
  • 29. Paris, 27 October 2015 European Commission President Jean-Claude Juncker "Our ambition is for Europe to become one of the top 3 world leaders in high-performance computing by 2020" The European Commission and HPC Vice-President Andrus Ansip "I encourage even more EU countries to engage in this ambitious endeavour" • Ministers from seven MS (France, Germany, Italy, Luxembourg, Netherlands, Portugal and Spain) sign a declaration to support the next generation of computing and data infrastructures Digital Day Rome, 23 March 2017
  • 30. The EuroHPC Declaration Declaration signed in Rome, March 23rd, 2017 by: Agree to work towards the establishment of a cooperation framework - EuroHPC - for acquiring and deploying an integrated exascale supercomputing infrastructure that will be available across the EU for scientific communities as well as public and private partners France Germany Italy Luxembourg Netherlands Portugal Spain Belgium Slovenia Bulgaria Switzerland Greece Croatia Six more countries signed the Declaration:
  • 31. EuroHPC news: Europa portal: (January 2018) http://europa.eu/rapid/press-release_IP-18-64_en.htm
  • 32. European Processor Initiative (EPI) • Dates: end 2018 – end 2022 • Budget: 120M€ (+ external funding to be defined) • EPI objectives aligned with EuroHPC initiative • Consortium: • ATOS/Bull (coordinator), BSC, Infineon, BMW, ST, ExToll, E4, SemiDynamics, Juelich, ETHZ, CEA, FORTH, Kalray, … • Define, design and manufacture: • European general purpose processor  probably based on Arm ISA • European accelerator  based on RISC-V ISA • Suitable for multiple markets: HPC, Big Data, automotive, Internet-of-Things, etc. • Goal: deploy pre-Exascale (2021) and Exascale systems (2023) based on EPI technology in selected European Institutions
  • 33. EPI 23 partners, from research to industry from consortium to EU high tech fabless EU - FPA Semiconductor EPI Common Platform Fabless company Industrial hand of EPI Incorporated by a couple EPI members and external investors 1st EPI production
  • 34. Three streams General purpose and Common Platform • Arm SVE or other candidates… • Bull: System integrator  chip integrator • Mont-Blanc 2020 Architectural Vision Accelerator • RISC-V • EU design: BSC, CEA, Chalmers, ETHZ, EXTOLL, E4, FORTH, Fraunhofer, IST, UNIBO, UNIZG, Semidynamics Automotive • Infineon, BMW…
  • 35. 2018 2019 2020 2021 2022 2023 2024 2025 CPU? ACCEL. HPC System PreExascale HPC Chip & system Accel. Chip & system Core Technolog y KeyMarkets HPC Chip & system Accel. Chip & system Gen 1 Gen 2 HPCCARS Automotive CPU Proof of Concept Gen 3 Automotive CPU Product HPC System Exascale SGA 3 SGA4SGA 1&2 EPI ROADMAP
  • 36. RISC-V accelerator vision @ EPI • High throughput devices • Long Vectors (a la Cray? A la Cyber205? ...) • Decouple Front end - Back end engines • Optimize memory throughput ([Command vector, 98]) • Explicit locality management (long register file) • ISA is important • Decouple/hide again hardware details, reuse SW technologies (compilers, OS,…), • Specific instructions? • “limited” number of control flows • Low power: ~ low voltage x ~ low frequency • Hierarchical Acceleration • Nesting • MPI+OpenMP • Task based, throughput oriented programming approach • Malleability in application + Dynamic resource (cores, power, BW) management • Intelligent runtimes & Runtime Aware Architectures • Architectural support for the runtime • Accelerator for ML • Specialized “non Von-Neumann” compute and data motion engines (neural/stencil) • Tuned numerical precision
  • 37. BSC is Hiring BSC is looking for talented and motivated students and professionals with expertise in the design and verification of IPs to be integrated into top-level HPC SoC designs. The immediate responsibilities of this group will be related to The European Processor Initiative. PhD students and experienced professionals (Engineers and/or PhD holders) wanted for: • RTL/Microarchitecture • Verification • FPGA Design Find out more: https://www.bsc.es/join- us/job-opportunities/103csrre Or contact: rrhh@bsc.es
  • 38. MareNostrum 5 in 2023…
  • 39. Conclusions • BSC is designing the next generation of supercomputers • Runtime-Aware Architectures • Mont-Blanc European project series • European Processor Initiative (EPI) • Goal: Ensure technological leadership of Europe for the next decade in High Performance Computing (HPC) • We need help from all of you to achieve such an ambitious goal 
  • 40. www.bsc.es THANK YOU! Please, contact me at: miquel.moreto@bsc.es