SlideShare une entreprise Scribd logo
1  sur  121
Télécharger pour lire hors ligne
VHDL

Support cours 5ITR
Prof. D. Mohammed BOUSMAH
bousmah@gmail.com

Copyright bousmah@gmail.com
Sommaire

1. Introduction à VHDL
2. Le langage VHDL
éléments de syntaxe
Les objets du langage
Les instructions
Structures d'un programme.

3. Modélisation à l'aide du langage VHDL
4. Simulation fonctionnelle et temporelle du code
VHDL
5. Implémentation de code VHDL

Copyright bousmah@gmail.com
Qu’est ce que VHDL?

Introduction à VHDL

Copyright bousmah@gmail.com
Introduction à VHDL
Développé dans les années 80 aux États-Unis, le langage de
description VHDL est ensuite devenu une norme IEEE numéro
1076 en 1987. Révisée en 1993 pour supprimer quelques
ambiguïtés et améliorer la portabilité du langage, cette norme est
vite devenue un standard en matière d'outils de description de
fonctions logiques.
A ce jour, on utilise le langage VHDL pour :
• Concevoir des ASIC (Application Specific Integrated Circuit).
• Programmer des composants programmables du type PLD,
CPLD et FPGA( Field Programmable Gate Array)
• Concevoir des modèles de simulations numériques ou des
bancs de tests.

Copyright bousmah@gmail.com
Introduction à VHDL

Copyright bousmah@gmail.com
Introduction à VHDL
Pourquoi un langage de description ?
• Premièrement: Il est plus facile de décrire un circuit
numérique en utilisant l’outil de description VHDL qu’un
schéma ou logigramme.
• Deuxièmement: c’est un langage de description de haut
niveau car il fait abstraction à l’objet auquel ou pour lequel il
est écrit (Portabilité des descriptions VHDL).

Copyright bousmah@gmail.com
Introduction à VHDL
Pourquoi un langage de description ?

Copyright bousmah@gmail.com
Introduction à VHDL
Les limites actuelles
Création de modèles de simulations :NORME IEEE VHDL La
totalité de la norme peut être utilisée pour réaliser des modèles de
simulations.
Création d'un circuit intégré :NORME IEEE VHDL Seule une
partie de la norme peut être utilisée pour réaliser des circuits.

Copyright bousmah@gmail.com
Introduction à VHDL
Les limites actuelles

Copyright bousmah@gmail.com
Introduction à VHDL
Caractéristiques VHDL

Copyright bousmah@gmail.com
Le langage VHDL

Exemple:

Copyright bousmah@gmail.com
Le langage VHDL

Copyright bousmah@gmail.com
Le langage VHDL
Toute déclaration VHDL utilisée pour la synthèse utilise des bibliothèques.
L'IEEE (Institute of Electrical and Electronics Engineers) produit des
normes, et a donc crée la norme IEEE 1164 qui contient la définition des
signaux électroniques, fonctions et sous programmes permettant d'effectuer
des opérations, ….

Copyright bousmah@gmail.com
Le langage VHDL
Elle permet de définir le NOM de la description VHDL ainsi que les
entrées et sorties utilisées, l'instruction qui les définit est port :

Copyright bousmah@gmail.com
Le langage VHDL

L'instruction port. Entre les deux parenthèses, elle contient la déclaration
des entrées/ sorties qui composent notre block entité.

Copyright bousmah@gmail.com
Le langage VHDL

Il est composé de caractères, le premier doit être une lettre, longueur quelconque mais
ne doit pas dépasser une ligne de code. VHDL n'est pas sensible à la casse, pas de
distinctions entre majuscules et minuscules.

Copyright bousmah@gmail.com
Le langage VHDL

Copyright bousmah@gmail.com
Le langage VHDL

Copyright bousmah@gmail.com
Le langage VHDL

L'architecture décrit le fonctionnement souhaité par le circuit. L'architecture est composée
d'une suite d'instructions ou d'équations qui décrivent le fonctionnement du circuit. Cette
suite sera d'une syntaxe similaire à celle de l'entité.

Copyright bousmah@gmail.com
Le langage VHDL

Copyright bousmah@gmail.com
Le langage VHDL

Copyright bousmah@gmail.com
Le langage VHDL

Copyright bousmah@gmail.com
Le langage VHDL

Copyright bousmah@gmail.com
Le langage VHDL

Copyright bousmah@gmail.com
Le langage VHDL

Copyright bousmah@gmail.com
Le langage VHDL

Copyright bousmah@gmail.com
Le langage VHDL

Copyright bousmah@gmail.com
Le langage VHDL

Copyright bousmah@gmail.com
Modélisation à l'aide
du langage VHDL

Le langage VHDL est conçu de manière à nous permettre de
modéliser des systèmes complexes
décrits à des niveaux
d’abstractions très différents. En d’autres mots, VHDL intègre
adéquatement les méthodologies de conception de systèmes dites
“Top/Down”. De plus, VHDL est un langage modulaire et
hiérarchique. Un système complexe peut être divisé en plusieurs
blocs, chaque bloc peut à son tour être divisé en plusieurs sous
blocs et ainsi de suite. L’interface entre les différents blocs se fait
par des “liens de communication”. De la même manière, un
modèle VHDL peut être composé de plusieurs sous-modules,
chaque sous-module peut être divisé à son tour en d’autres sousmodules et ainsi de suite.

Copyright bousmah@gmail.com
Modélisation à l'aide
du langage VHDL

VHDL a d’abord été proposé comme un langage de modélisation
et il a graduellement été utilisé comme un langage de synthèse.
Cependant, il faut noter que les possibilités de modélisation avec
VHDL dépassent de loin les besoins de la synthèse. Certaines
caractéristiques abstraites de VHDL n’ont pas d’équivalent dans la
logique digitale. Autrement dit, la synthèse ne supporte qu’un sous
ensemble des possibilités de VHDL.

Exemples: Voir TP

Copyright bousmah@gmail.com
Simulation fonctionnelle et
temporelle du code VHDL
La réalisation d’un projet VHDL les étapes suivantes :
Spécification

Validation fonctionnelle

Design correct
non
oui
Validation temporelle

non

Spécifications temporelles
correctes

oui
Programmation

Copyright bousmah@gmail.com
Simulation fonctionnelle et
temporelle du code VHDL

Spécification : saisie du circuit logique (spécification syntaxique :
VHDL, Verilog, mode graphique, etc.)

Validation fonctionnelle : simulation fonctionnelle du concept
(problèmes des sorites/sorties, boucles, etc.). Vérification ne
prenant pas en compte les aspects temporels du circuit

Validation temporelle : Simulation temporelle (et fonctionnelle)
du circuit (temps de propagation, recouvrement de signaux, etc.).

Copyright bousmah@gmail.com
Implémentation de code VHDL

Implémentation : le programme est porté physiquement sur le
circuit FPGA en fonction des spécifications précisé par le
programmeur (pins, etc.)

FPGA de Xilinx (modèle Spartan XC3S400) avec 400 000 portes1

Copyright bousmah@gmail.com
Implémentation de code VHDL

Copyright bousmah@gmail.com
Implémentation de code VHDL
En effet; un circuit logique programmable, ou réseau logique programmable,
est un circuit intégré logique qui peut être reprogrammé après sa fabrication.
Il est composé de nombreuses cellules logiques élémentaires librement
assemblables.
Ce type de composant électronique est communément désigné par
différents sigles anglais dont notamment :
FPGA (field-programmable gate array, réseau de portes programmables
in situ) ;
PLD (programmable logic device, circuit logique programmable) ;
EPLD (erasable programmable logic device, circuit logique programmable
et effaçable) ;
CPLD (complex programmable logic device, circuit logique programmable
complexe) ;
PAL (programmable array logic, réseau logique programmable) ;
Bien que fondamentalement synonymes, ces termes ne sont généralement pas
interchangeables dans le vocabulaire commercial des fabricants : FPGA désigne
plutôt des composants à technologie RAM, EPLD des composants à technologie
FLASH, PAL des composants à technologie anti fusible.
fusible

Copyright bousmah@gmail.com
Implémentation de code VHDL

Copyright bousmah@gmail.com
TP: XILINX ISE 9.2i

Copyright bousmah@gmail.com
TP: XILINX ISE 9.2i

Copyright bousmah@gmail.com
TP: XILINX ISE 9.2i

Copyright bousmah@gmail.com
TP: XILINX ISE 9.2i

Copyright bousmah@gmail.com
TP: XILINX ISE 9.2i

Copyright bousmah@gmail.com
TP: XILINX ISE 9.2i

Copyright bousmah@gmail.com
TP: XILINX ISE 9.2i

Copyright bousmah@gmail.com
TP: XILINX ISE 9.2i

Copyright bousmah@gmail.com
TP: XILINX ISE 9.2i

Copyright bousmah@gmail.com
TP: XILINX ISE 9.2i

Copyright bousmah@gmail.com
TP: XILINX ISE 9.2i

Copyright bousmah@gmail.com
TP: XILINX ISE 9.2i

Copyright bousmah@gmail.com
TP: XILINX ISE 9.2i

Copyright bousmah@gmail.com
TP: XILINX ISE 9.2i

Copyright bousmah@gmail.com
TP: XILINX ISE 9.2i

Copyright bousmah@gmail.com
TP: XILINX ISE 9.2i

Copyright bousmah@gmail.com
TP: XILINX ISE 9.2i

Copyright bousmah@gmail.com
TP: XILINX ISE 9.2i

Copyright bousmah@gmail.com
TP: XILINX ISE 9.2i

Copyright bousmah@gmail.com
TP: XILINX ISE 9.2i

Copyright bousmah@gmail.com
TP: XILINX ISE 9.2i

Copyright bousmah@gmail.com
TP: XILINX ISE 9.2i

Copyright bousmah@gmail.com
TP: XILINX ISE 9.2i

Copyright bousmah@gmail.com
TP: XILINX ISE 9.2i

Copyright bousmah@gmail.com
TP: XILINX ISE 9.2i

Copyright bousmah@gmail.com
TP: XILINX ISE 9.2i

Copyright bousmah@gmail.com
TP: XILINX ISE 9.2i

Copyright bousmah@gmail.com
TP: XILINX ISE 9.2i

Copyright bousmah@gmail.com
TP: XILINX ISE 9.2i

Copyright bousmah@gmail.com
TP: XILINX ISE 9.2i

Copyright bousmah@gmail.com
TP: XILINX ISE 9.2i

Copyright bousmah@gmail.com
TP: XILINX ISE 9.2i

Copyright bousmah@gmail.com
TP: XILINX ISE 9.2i

Copyright bousmah@gmail.com
TP: XILINX ISE 9.2i

Copyright bousmah@gmail.com
TP: XILINX ISE 9.2i

Copyright bousmah@gmail.com
TP: XILINX ISE 9.2i

Copyright bousmah@gmail.com
TP: XILINX ISE 9.2i

Copyright bousmah@gmail.com
TP: XILINX ISE 9.2i

Copyright bousmah@gmail.com
TP: XILINX ISE 9.2i

Copyright bousmah@gmail.com
TP: XILINX ISE 9.2i

Copyright bousmah@gmail.com
TP: XILINX ISE 9.2i

Copyright bousmah@gmail.com
TP: XILINX ISE 9.2i

Copyright bousmah@gmail.com
Références
D.Chillet Support de cours VHDL ENSSAT - Université de Rennes 1 – France
Richard GRISEL « Les FPGA » IUP GEE Amiens
Dantec – Lannion VHDL combinatoire
M TAYARI.L «Guide pratique d’initiation à XILINX ISE 9.2i» ISET DE NABEUL
Philip Simpso FPGA Design: Best Practices for Team-Based Design
Jacques Weber et all. Le langage VHDL : du langage au circuit, du circuit au langage
- 4e édition: Cours et exercices corrigés

Copyright bousmah@gmail.com
Annexes

Annexe 1:
Syntaxe détaillée VHDL

Copyright bousmah@gmail.com
Annexe 1:
Syntaxe détaillée VHDL
Structure d’une description VHDL
Entité et architecture
En VHDL, une structure logique est décrite à l'aide d'une entité et
d'une architecture de la façon suivante :
ENTITY Nom de l'entité IS
Description des entrées et des sorties de la structure en explicitant
pour chacune d'entre elles le nom, la direction (IN, OUT et INOUT)
et le type.
END Nom de l'entity ;
ARCHITECTURE Nom de l'architecture OF Nom de l'entité IS
Zone de déclaration.
BEGIN
Description de la structure logique.
END nom de l'architecture ;

Copyright bousmah@gmail.com
Annexe 1:
Syntaxe détaillée VHDL
Exemple d’un additionneur 1 bit:
Entity additionneur is
port (A, B : in bit; Som, Ret : out bit);
End additionneur ;
Architecture arch_addi of additionneur is
begin
Som <= A xor B;
Ret <= A and B;
End arch_addi;

Copyright bousmah@gmail.com
Annexe 1:
Syntaxe détaillée VHDL

Remarques:
• L'entité donne les informations concernant les signaux
d'entrées et de sorties de la structure ainsi que leurs noms et
leurs types.
• L'architecture décrit le comportement de l'entité.
• Il est possible de créer plusieurs architectures pour une même
entité. Chacune de ces architectures décrira l'entité de façon
différente.

Copyright bousmah@gmail.com
Annexe 1:
Syntaxe détaillée VHDL
Description comportementale et structurelle
Il existe deux façons distinctes de décrire une structure. L'une dite comportementale et l'autre structurelle.
•

Description comportementale :Dans ce type de description, le comportement de la structure est directement inscrit dans
l'architecture à l'aide d'instructions séquentielles ou sous forme de flow de données.

Description comportementale à l'aide d'instructions séquentielles :
architecture Arch_comp1 of entité_X is
begin
process (A, B)
begin
if (A = '0' and B = '0') then
Som <= '0'; Ret <= '0';
if (A = '0' and B = '1') then
Som <= '1'; Ret <= '0';
if (A = '1' and B = '0') then
Som <= '1'; Ret <= '0';
if (A = '1' and B = '1') then
Som <= ‘0'; Ret <= '1';
end if;
end process;
end arch_comp1;

Copyright bousmah@gmail.com
Annexe 1:
Syntaxe détaillée VHDL

Description comportementale sous forme de flow de données :
architecture Arch_comportementale of entité_X is
begin
Som <= A xor B;
Ret <= A and B;
end arch_comportementale;
•

Description structurelle: Dans ce type de description, on relie entre eux des composants préalablement décrits en VHDL.

Entity XOU is
port (E1, E2 : in bit; S1 : out bit);
end XOU;
architecture A_XOU of XOU is
begin
S1 <= E1 XOR E2;
end A_XOU;

entity ET is
port (E3, E4 : in bit; S2 : out bit);
end ET;
architecture A_ET of ET is
begin
S2 <= E3 AND E4;
end A_ET;

Copyright bousmah@gmail.com
Annexe 1:
Syntaxe détaillée VHDL
Entity add is
port (A, B : in bit; Som, Ret : out bit);
end add;
architecture Arch_structurelle of add is
--Déclaration du composant XOU
component XOU
port (E1, E2 : in bit; S1 : out bit);
end component;
--Déclaration du composant ET
component ET
port (E3, E4 : in bit; S2 : out bit);
end component;
--Spécification de l'entité et de l'architecture utiliser pour les composants ET et XOU.
for U1 : XOU use entity work.XOU(A_XOU);
for U2 : ET use entity work.ET(A_ET);
--Câblage des composants XOU et ET appelé U1 et U2.
begin
U1 : XOU port map (A, B, Som);
U2 : ET port map (A, B, Ret);
end arch_structurelle;

Copyright bousmah@gmail.com
Annexe 1:
Syntaxe détaillée VHDL
Configuration, package et package body
Admettons que plusieurs architectures aient été créées pour une entité A utilisée au sein d’une architecture arch_princ de l'entité princ.
Lors de la synthèse ou de la simulation de l'entité princ, il va être nécessaire de spécifier quelles sont les architectures à utiliser. Cette
spécification peut être faite grâce à l'utilisation d'une configuration.

Copyright bousmah@gmail.com
Annexe 1:
Syntaxe détaillée VHDL

• La configuration permet, comme son nom l'indique, de
configurer l'entité à laquelle elle est associée. On peut, par
exemple, spécifier les architectures à utiliser pour tous les
composants déclarés au sein d'une description.
• Pour qu'une description soit portable, c'est-à-dire
synthétisable ou simulable sur des outils différents et pour
des composants cibles différents, il est préférable de
n'avoir qu'une seule architecture par entité. Les
configurations deviennent alors inutiles.

Copyright bousmah@gmail.com
Annexe 1:
Syntaxe détaillée VHDL
Dans le langage VHDL, il est possible de créer des “package” et “package
body” dont les rôles sont de permettre le regroupement de données, variables,
fonctions, procédures, etc., que l'on souhaite pouvoir utiliser ou appeler à partir
d'architectures. Il est ainsi possible de se créer une sorte de bibliothèque
d'objets (constantes, variables particulières, fonctions ou procédures
spécifiques, etc.) que l'on pourra appeler à partir de plusieurs descriptions.
Cette possibilité est particulièrement intéressante lorsque l'on utilise, au sein
d'un projet contenant plusieurs entités, des ressources (constantes, variables
particulières, fonctions...) identiques.
Imaginons, par exemple, qu'un projet contenant deux entités A et B aux
Architectures respectives arch_A et arch_B utilisant la même constante de
temps tps_propa = 27ns. L'utilisation d'un package nommé pack_proj etd'un
package body nommé pack_bod donnerait :

Copyright bousmah@gmail.com
Annexe 1:
Syntaxe détaillée VHDL

Copyright bousmah@gmail.com
Annexe 1:
Syntaxe détaillée VHDL

La ligne de commande “use work.pack_proj.all” qui se trouve
en tête des déclarations des entités a pour but de prévenir
l'analyseur ou le synthétiseur que l'on va utiliser des éléments
du package pack_proj, en l'occurrence la constante de type
time tps_propa initialisée à 27ns.

Copyright bousmah@gmail.com
Annexe 1:
Syntaxe détaillée VHDL
Les instructions concurrentes et séquentielles

• Les instructions concurrentes:Elles s’exécutent de façon
parallèle. Les sorties sont réactualisées en continu en fonction
des changements observés sur les entrées.
On peut dissocier trois types d’instructions concurrentes :
- Les instructions d'affectation utilisée au sein des exemples
précédents qui correspondent à l'écriture d'une équation
booléenne,
- Les instructions conditionnelles du type "affectation When
condition else ...",
- Les instructions conditionnelles du type "With signal select
affectation When condition else ...",

Copyright bousmah@gmail.com
Annexe 1:
Syntaxe détaillée VHDL
L'instruction When else

Cette instruction permet d'affecter un signal à une expression
(expression = signal, équation ou valeur) pour certaines
conditions (conditions sur les signaux de type IN ou INOUT).
Voici un exemple d'utilisation de ce type d'instruction lors de la
description d'un multiplexeur :
entity exemple is
port (A, B, C : IN bit; Sel : IN bit_vector (1 downto 0); S : OUT bit);
end exemple;
architecture exemple of exemple is
begin
S <= A when (Sel = "00") else
B when (Sel = "01") else
C when (Sel = "10") else
'0';
end exemple;

Copyright bousmah@gmail.com
Annexe 1:
Syntaxe détaillée VHDL
L'instruction With select when

Cette instruction est semblable à la précédente avec en plus une
précision préalable du signal sur lequel vont se porter les
conditions.
En reprenant l'exemple précédent, on obtient donc :
entity exemple is
port (A, B, C : IN bit; Sel : IN bit_vector (1 downto 0); S : OUT bit);
end exemple;
architecture exemple of exemple is
begin
With Sel select
S <= A when "00",
B when "01",
C when "10",
'0' when others;
end exemple

Copyright bousmah@gmail.com
Annexe 1:
Syntaxe détaillée VHDL
Les instructions séquentielles

Les process

le langage VHDL propose une solution simple qui
consiste à créer des ensembles appelés “process”,
regroupant des instructions séquentielles et se
comportant, d'un point de vue externe, comme des
Instructions concurrentes.
Voici un exemple d'équivalence entre deux descriptions,
l'une à base d'instructions concurrentes et l'autre à base
d'instructions séquentielles regroupées au sein d'un process.

Copyright bousmah@gmail.com
Annexe 1:
Syntaxe détaillée VHDL

Copyright bousmah@gmail.com
Annexe 1:
Syntaxe détaillée VHDL

A travers cet exemple, on constate que les deux process se comportent comme deux instructions
concurrentes.
L'exécution d'un process est concurrente même si les instructions qu'il contient sont
séquentielles.
Notons que la déclaration d'un process débute par le mot clé “process”, suivi d'une liste de noms
de signaux.Cette liste est appelée “liste de sensibilité”, elle contient le nom des signaux dont le
changement d'état va provoquer l'exécution du process. En d'autres termes, le process dont
la déclaration est la suivante : process (A, B) va être exécuté à chaque changement d'état de l'un
(ou des deux) signal(aux) A et B.
L'exécution d'un process n'a lieu qu'en cas de changement d'état de l'un (ou de plusieurs)
signal(aux) compris dans la liste de sensibilité.

Copyright bousmah@gmail.com
Annexe 1:
Syntaxe détaillée VHDL
INSTRUCTION IF
IF condition1 THEN
instructions séquentielles;
ELSIF condition2 THEN
instructions séquentielles;
ELSIF condition3 THEN
instructions séquentielles;
ELSE
instructions séquentielles;
END IF;
Remarques :
Il est possible d’imbriquer plusieurs boucles IF les unes dans les autres.
IF ... THEN
IF ... THEN
ELSIF ... THEN
END IF;
ELSE
END IF;
Les instructions ELSIF et ELSE ne sont pas obligatoires.

Copyright bousmah@gmail.com
Annexe 1:
Syntaxe détaillée VHDL
BOUCLE FOR
FOR paramètre IN intervalle LOOP
instructions séquentielles;
END LOOP;
Qui se lit:
POUR le paramètre COMPRIS dans l'intervalle EXECUTER
instructions séquentielles;
FIN DE LA BOUCLE;
Exemple :
FOR i IN 0 to 3 LOOP
IF (A = i) THEN
S <= B;
END IF;
END LOOP;
Exécuter, pour i = 0, i = 1, i = 2 puis i = 3
les instructions suivantes :
SI (A = i) THEN
S <= B;
END IF;

FIN DE LA BOUCLE;

Copyright bousmah@gmail.com
Annexe 1:
Syntaxe détaillée VHDL
INSTRUCTION CASE
CASE signal IS
WHEN valeur1 => instructions séquentielles;
WHEN valeur2 => instructions séquentielles;
WHEN valeur3 => instructions séquentielles;
WHEN OTHERS =>instructions séquentielles;
END CASE;
Qui se lit:
CAS possibles de l’expression EST
LORSQUE signal = valeur1 => instructions séquentielles;
LORSQUE signal = valeur2 => instructions séquentielles;
LORSQUE signal = valeur3 => instructions séquentielles;
LORSQUE signal = AUTRES => instructions séquentielles;
FIN DE CAS;
Remarques :
L’instruction CASE, souvent appelée “switch case”, convient parfaitement
pour décrire un diagramme d'état, un grafcet ou tout autre formalisme de
Structures séquentielles.
L'instruction “When others” n'est pas obligatoire, elle représente une facilité
d'écriture.
Copyright bousmah@gmail.com
Annexe 1:
Syntaxe détaillée VHDL
Les vecteurs de signaux
le langage de description VHDL permet la déclaration et la manipulation des vecteurs de signaux ou des bus de
signaux.
Exemple:Multiplexeur de vecteurs de signaux.

S = A si sel = ’1’ et S = B si sel = ’0’.
Entity MUX is
port (sel : in bit; A : in bit_vector (7 downto 0);
B : in bit_vector (7 downto 0);
S : out bit_vector (7 downto 0));
end MUX;
architecture Arch_MUX of MUX is
begin
S <= A when SEL = '1' else
S <= B when SEL <= '0';
end Arch_MUX;

Copyright bousmah@gmail.com
Annexe 1:
Syntaxe détaillée VHDL
Déclaration GENERIC
Typiquement, on utilise une déclaration de GENERIC pour spécifier des temps de propagation et
autres délais de portes logiques. Ces temps seront alors modifiables lors de l'utilisation de ces
portes logiques dans une description structurelle. Voici un exemple d'utilisation de déclarations de
GENERIC :

Copyright bousmah@gmail.com
Annexe 1:
Syntaxe détaillée VHDL
Les fonctions et procédures
Le langage VHDL permet l'utilisation et la création de fonctions ou de procédures que l'on
peut appeler à partir d'une architecture. Le rôle de ces fonctions ou procédures est de permettre,
au sein d'une description, la création d'outils dédiés à certaines tâches pour un type déterminé de
signaux .

La syntaxe d’une fonction est la suivante :
FUNCTION nom de la fonction (liste des paramètres de la fonction avec leur type) RETURN
type du paramètre de retour IS zone de déclaration des variables;
BEGIN
...........;
instructions séquentielles;
...........;
RETURN nom de la variable de retour ou valeur de retour;
END;

Copyright bousmah@gmail.com
Annexe 1:
Syntaxe détaillée VHDL
Exemple:
Dans le montage ci-dessous, on utilise à quatre reprises la fonction logique NONET à trois entrées. On décide
donc de décrire ce montage en créant une description VHDL comportant une fonction nommée NONET.

Copyright bousmah@gmail.com
Annexe 1:
Syntaxe détaillée VHDL
Une fonction reçoit donc des paramètres d'entrées et renvoie un paramètre de sortie. Dans
l'exemple précédent, la fonction reçoit trois paramètres A, B et C et retourne un paramètre S. Le
mot clé RETURN permet d'associer au paramètre de sortie une valeur. Une fonction peut donc
contenir plusieurs RETURN, exemple :
FUNCTION bool_vers_bit (X : boolean) RETURN bit IS
BEGIN
if X then
RETURN '1';
else
RETURN '0';
end if;
END;
Cette fonction, qui convertit un signal de type booléen en un signal de type bit, possède deux
RETURN. L'un pour renvoyer la valeur '1' et l'autre pour la valeur '0'. Notons qu'il est possible de
déclarer une variable interne à la fonction que l'on pourra affecter au paramètre de sortie (voir
exemple précédent), ce type d'affectation "signal <= variable" est impossible en dehors des
fonctions, procédures ou process.
En ce qui concerne l'appel d'une fonction, il peut être fait à partir d'instructions séquentielles ou
concurrentes. Dans le cas des instructions concurrentes, la fonction sera toujours vérifiée.
Copyright bousmah@gmail.com
Annexe 1:
Syntaxe détaillée VHDL
Procédures
Les procédures, diffèrent des fonctions par le fait qu'elles acceptent des paramètres dont la direction
peut être IN, INOUT et OUT. Une procédure ne possède donc pas un ensemble de paramètres
d'entrées et un paramètre de sortie mais un ensemble de paramètres d'entrées-sorties et aucun
paramètre spécifique de sortie. Prenons l'exemple de la description d'une bascule RS à travers une
procédure :
Entity test_de_rs is
port (E1, E2 : IN bit; S1, S2 INOUT bit);
end test_de_RS;
Q
Architecture test_de_RS of test_de_RS is
PROCEDURE RS (signal A, B : IN bit; signal Q, : INOUT bit) IS
Q
BEGIN
Q <= not (A or );

Q

<= not (B or Q);
END;
begin
RS (E1, E2, S1, S2);
end test_de_RS;

Q

Copyright bousmah@gmail.com
Annexe 1:
Syntaxe détaillée VHDL
On constate que les paramètres A, B, Q et de la procédure ont été explicitement décrits. Ainsi,
Q
la liste des paramètres contient :
– le genre de paramètre, variable (valeur par défaut), signal ou constante,
– le nom des paramètres, dans notre cas, A, B, Q et (ces noms ne sont connus en temps que
Q
Q
paramètres qu'à l'intérieur de la procédure),
– la direction de chaque paramètre, IN, OUT ou INOUT (dans notre cas INOUT pour Q et
Q
qui sont utilisés en lecture IN et écriture OUT au sein de la procédure),
– le type des paramètres, bit dans notre cas.
Remarque :
Dans l'exemple ci-dessus, les paramètres de la procédure étaient de genre signal, ce qui
impliquait les affectations "<=" propres aux signaux. Dans le cas où les paramètres sont de genre
variable, il faut utiliser les symboles ":=" propres aux variables. Si l’on reprend cet exemple, en
utilisant des paramètres de genre variable, on obtient une description moins lisible qui est la
suivante :

Copyright bousmah@gmail.com
Annexe 1:
Syntaxe détaillée VHDL
architecture test_rs of test_rs is
PROCEDURE RS (signal A, B : IN bit; variable Q, : INOUT bit) IS
BEGIN
Q
Q := not (A or );

Q
:= not (B or Q);

Q
END;
begin
process (E1, E2)
variable Q1, Q2 : bit;
begin
RS (E1, E2, Q1, Q2);
if (Q1 = '1') then S1 <= '1';
else S1 <= '0';
end if;
if (Q2 = '1') then S2 <= '1';
else S2 <= '0';
end if;
end process;
end test_rs;

Copyright bousmah@gmail.com
Déclaration des fonctions et procédures au sein de packages
Dans les exemples qui précèdent, les fonctions ou procédures ont été insérées dans des architectures. Or, dans ce
cas précis, les fonctions ou procédures en question ne sont accessibles que dans l'architecture dans laquelle elles
ont été décrites. Pour les rendre accessibles à partir de plusieurs architectures, il est nécessaire de les déclarer au
sein de packages et de déclarer en amont de l'architecture vouloir utiliser ce package. En reprenant l'exemple de la
création d'une fonction NONET et en insérant cette fonction au sein d'un package, voici ce que l'on obtient :

Toute fonction ou procédure déclarée au sein d'un package est décrite dans le package body associé à ce
package.
Copyright bousmah@gmail.com
Annexe 1:
Syntaxe détaillée VHDL
Les types prédéfinis ou non, surcharge des opérateurs,
fonction de résolution
•
Les types prédéfinis et les opérateurs associés:
Le type d'un signal définit l'ensemble auquel appartient un signal. Le langage VHDL initial propose des types
prédéfinis, c'est-à-dire des types pour lesquels l'ensemble est clairement défini.Voici les types prédéfinis proposés par
le langage VHDL initial :

Nom du type

Définition de l'ensemble

BIT

Deux valeurs possibles ’0’ ou ’1’

INTEGER

Entiers (nombre positif ou négatif sur 32 bits)

REAL

Réels

BOOLEAN

2 valeurs possibles true ou false

CHARACTER

Caractères a, b, c ..., 1, 2 .

TIME

Nombre réel de temps fs, ps ..., min, hr.

Copyright bousmah@gmail.com
En plus des différents types listés dans le tableau précédent, le langage VHDL propose trois types
très utiles dont voici la définition.
Type énumération
Ces types sont utilisés lorsque l'on souhaite créer un nouveau type de signal ou lorsque l'on souhaite
créer un signal ou une variable dont les valeurs possibles sont explicites.
Exemple:
TYPE Signal_instruction IS (LDAA, ADDA, BNE, .... , BSR, RTS) ;
SIGNAL Instruction : Signal_instruction ;
Dans cet exemple, la première ligne correspond à la définition d'un type de nom Signal_instruction et
la deuxième à la création d'un signal nommé Instruction et de type Signal_instruction.
Type tableau
Le langage VHDL autorise la définition de tableau de valeurs de type quelconque. L'instruction
suivante correspond à la définition d'un type nommé Tableau_d'entiers :
TYPE Tableau_d'entiers IS ARRAY (7 downto 0) OF integer ;
L'instruction suivante va créer un signal de nom Tableau et de type Tableau_d'entiers :
SIGNAL Tableau : Tableau_d'entiers ;
Le signal Tableau comportera donc 8 éléments de type entier que l'on pourra manipuler
individuellement ou tous en même temps. Pour créer un tableau à plusieurs lignes, la syntaxe suivante
est possible :
TYPE Tableau_8xbit IS ARRAY (7 downto 0) OF bit ;
TYPE Tableau_4x8xbit IS ARRAY (3 downto 0) OF Tableau_8xbit ;
ou encore :
TYPE Tableau_4x8xbit IS ARRAY (3 downto 0, 7 downto 0) OF bit ;
Copyright bousmah@gmail.com
Annexe 1:
Syntaxe détaillée VHDL

Type enregistrement
Il est possible, en VHDL comme dans la plupart des langages évolués, de créer un type
Constitué de plusieurs éléments différents. Les éléments ainsi juxtaposés pourront, par la
suite, être accessibles grâce à l'utilisation du "." comme pour les adresses électroniques.

Copyright bousmah@gmail.com
Annexe 1:
Syntaxe détaillée VHDL
• Définition de types et surcharge des opérateurs.
Les types prédéfinis proposés par le langage VHDL initial sont vite devenus insuffisants dans la
plupart des cas.
C'est dans cette optique que les types std_logic et std_ulogic conformes au standard IEEE 1164
ont été créés. Ces types proposent les valeurs suivantes :
'U' = Non initialisé,
’X ' = inconnu forçage fort,
’0’ = forçage faible,
’1’ = forçage fort,
’Z ' = haute impédance,
’W’ = inconnu forçage faible,
’L’ = forçage faible,
’H’ = forçage fort,
et ’-’ = quelconque.

Copyright bousmah@gmail.com
Annexe 1:
Syntaxe détaillée VHDL
Les attributs
Le langage VHDL propose un outil appelé attribut que l'on peut, en quelque sorte, assimiler à des
fonctions. Placé auprès d'un signal, l'attribut " 'event " va, par exemple, retourner une information
vraie ou fausse (donc de type booléen) sur le fait que le signal en question ait subi ou non un
événement (un changement de valeur). Le fonctionnement de cet attribut ressemble au
comportement d'une fonction dont le paramètre de retour serait de type booléen, à ceci près que
l'attribut 'event en question possède une notion de mémoire. En effet il détecte un changement
d'état qui nécessite d'avoir au préalable mémorisé l'état précédent. Il existe en réalité deux sortes
d'attributs :
• Les attributs destinés à retourner des informations concernant le comportement d'un signal
(événements, dernière valeur...).
• Les attributs destinés à retourner des informations concernant les caractéristiques d'un vecteur
(longueur, dimension...).
Pour ces deux sortes d'attributs, on parle d'attributs prédéfinis, au même titre que l'on parle de
types prédéfinis (bit, boolean, etc.). Voici une liste non exhaustive des différents attributs
prédéfinis proposés par le langage VHDL.

Copyright bousmah@gmail.com
Annexe 1:
Syntaxe détaillée VHDL
Attributs

Définitions - informations de retour

'active

Vrai ou faux si le signal auquel il est associé vient d'être affecté.

'last_value

Retourne la valeur précédente du signal auquel il est associé.

'last_event ou 'last-active

Retournent des informations de temps concernant la date
d'affectation ou de transition des signaux auxquels ils sont
affectés

'stable(T)

Vrai ou faux si le signal auquel il est associé n'est pas modifié
pendant la durée T.

'quiet

Vrai ou faux si le signal auquel il est associé n'est pas affecté
pendant la durée T.

'transaction

Retourne une information de type bit qui change d'état lorsque le
signal auquel il est associé est affecté.

'high

Placé près d'un nom de tableau, il retourne la valeur du rang le
plus haut (la valeur de retour est de type entier).

'low

Placé près d'un nom de tableau, il retourne la valeur du rang le
plus bas (la valeur de retour est de type entier).

'left

Placé près d'un nom de vecteur ou tableau, il retourne la valeur
du rang le plus à gauche (la valeur de retour est de type entier).

'right

Placé près d'un nom de vecteur ou tableau, il retourne la valeur
du rang le plus à droite (la valeur de retour est de type entier).
Copyright bousmah@gmail.com
Annexe 1:
Syntaxe détaillée VHDL

'range

Placé près d'un signal, il retourne la valeur de l'intervalle
spécifié par l'instruction range lors de la déclaration du signal
ou du type utilisé.

'reverse_range.

Placé près d'un signal, il retourne la valeur de l'intervalle
inverse spécifié par l'instruction range lors de la déclaration du
signal ou du type utilisé

'length

Retourne X'high - X'low + 1 (sous la forme d'un entier).

'event

Vrai ou faux si le signal auquel il est associé vient de subir un
changement de valeur.

Copyright bousmah@gmail.com
Annexe 1:
Syntaxe détaillée VHDL
Synthèse d'une description VHDL
•
Fonctions combinatoires:
Une fonction combinatoire est une structure pour laquelle chaque combinaison d'entrée
fait correspondre en sortie une combinaison déterminée et indépendante des combinaisons
d'entrées et de sorties précédentes. On peut donc décrire ces structures à l'aide d'équations
booléennes qui seront toujours vérifiées comme le sont les instructions concurrentes. En
effet, l'instruction "S <= A and B or C" est toujours vérifiée et correspond à l'équation
booléenne S =A.B + C. Mais il est aussi possible de décrire une structure combinatoire en
utilisant les instructions séquentielles d'un process. L'équation précédente peut, par
exemple, s'écrire :
process (A, B, C)
begin
if (C = '1') then S <= '1';
elsif (A = '1' and B = '1') then S <= '1';
else S <= '0';
end if;
end process;
L'issue de synthèse de ces deux types de description est identique.
Toutefois,on peut être amené à se poser la question de savoir quel type de description est à
utiliser.

Copyright bousmah@gmail.com
Annexe 1:
Syntaxe détaillée VHDL
En réalité, même si le résultat de la synthèse est identique, il y a une différence fondamentale
entre ces deux descriptions. L'une n'est que le reflet des équations logiques de la structure
Combinatoire à décrire, alors que l'autre se base sur l'expression de son comportement.
Pour tenter de résumer les différences existant entre ces deux méthodes de description des
fonctions combinatoires, on peut lister les avantages et les inconvénients de chacune d'elles.
•
Description de fonctions combinatoires à l'aide d'instructions concurrentes :
Avantages :
– la description obtenue est lisible et simple,
– la description obtenue reflète bien la réalité.
Inconvénients :
– décrire une fonction combinatoire en utilisant des instructions concurrentes sous-entend que
la
taille de sa table de vérité le permet ou que les simplifications de cette dernière ont été faites.
•
Description de fonctions combinatoires à l'aide de process :
Avantages :
– pour des fonctions compliquées dans lesquelles les équations possèdent de nombreux termes,
cette méthode est parfois plus simple. Lorsque la description comportementale est plus simple
que la description algorithmique, cette méthode est avantageuse ;
– il n'est pas nécessaire de faire les simplifications de la table de vérité, elles sont faites
automatiquement par le synthétiseur lors de la synthèse.
Inconvénients :
– dans certains cas, cette écriture est peu lisible et par conséquent très difficilement modifiable
par une personne extérieure.
Il n'y a donc pas de règle générale mais plutôt la nécessité de procéder à une démarche logique
d'observation avant la description pour choisir la méthode de description adéquate.

Copyright bousmah@gmail.com
Annexe 1:
Syntaxe détaillée VHDL
•

Fonctions séquentielles:

La synthèse d'une fonction logique séquentielle est beaucoup plus complexe que celle
d'une fonction combinatoire. Les possibilités de description offertes par le langage VHDL
Sont vastes et bien souvent irréalisables dans l'état actuel des technologies. Prenons
l'exemple des attributs, ils sont puissants, efficaces, mais posent, pour certains, de gros
problèmes aux outils de synthèse qui ne savent pas comment réaliser des structures
capables de représenter le fonctionnement de ces attributs. L'attribut 'quiet(T), qui retourne
une information de type booléen qui est vraie lorsque le signal auquel il est associé n'a
pas
été affecté depuis le temps T, ne représente aucune structure électronique simple. Les
synthétiseurs actuels refusent donc cet attribut faute de savoir quoi en faire.
Par contre, il existe des attributs qui sont très utilisés et qui représentent même un
véritable
standard. La description d'un front est très simple à réaliser si l'on utilise l'attribut 'event
associé à une condition de niveau pour la détection d'un front montant ou descendant.

Copyright bousmah@gmail.com
Annexes

Annexe 2

Copyright bousmah@gmail.com
Merci de votre attention

Copyright bousmah@gmail.com

Contenu connexe

Tendances

Exercices vhdl
Exercices vhdlExercices vhdl
Exercices vhdlyassinesmz
 
Le microprocesseur
Le microprocesseurLe microprocesseur
Le microprocesseurISIG
 
Chapitre iii processeur intel 80x86
Chapitre iii processeur intel 80x86Chapitre iii processeur intel 80x86
Chapitre iii processeur intel 80x86Sana Aroussi
 
Architecture du microprocesseur
Architecture du microprocesseurArchitecture du microprocesseur
Architecture du microprocesseurOndernemersschool
 
Chapitre ii architecture interne des processeurs
Chapitre ii architecture interne des processeursChapitre ii architecture interne des processeurs
Chapitre ii architecture interne des processeursSana Aroussi
 
Base des systèmes à microprocesseur
Base des systèmes à microprocesseurBase des systèmes à microprocesseur
Base des systèmes à microprocesseurPeronnin Eric
 
Chapitre i architectures des processeurs récents
Chapitre i architectures des processeurs récentsChapitre i architectures des processeurs récents
Chapitre i architectures des processeurs récentsSana Aroussi
 
Cours2 initiation language arduino
Cours2   initiation language arduinoCours2   initiation language arduino
Cours2 initiation language arduinolabsud
 
API et Supervision industrielle
API et Supervision industrielle API et Supervision industrielle
API et Supervision industrielle sarah Benmerzouk
 
Exposé Le Microprocesseur
Exposé   Le MicroprocesseurExposé   Le Microprocesseur
Exposé Le MicroprocesseurTheCrazyMan
 
Cours1 presentation de l'environnement arduino
Cours1   presentation de l'environnement arduinoCours1   presentation de l'environnement arduino
Cours1 presentation de l'environnement arduinolabsud
 
Sthack 2015 - David Berard & Vincent Fargues - Attack the cache to get some cash
Sthack 2015 - David Berard & Vincent Fargues - Attack the cache to get some cashSthack 2015 - David Berard & Vincent Fargues - Attack the cache to get some cash
Sthack 2015 - David Berard & Vincent Fargues - Attack the cache to get some cashStHack
 
Programmation En Langage Pl7 2
Programmation En Langage Pl7 2Programmation En Langage Pl7 2
Programmation En Langage Pl7 2youri59490
 
Sthack 2015 - Aris "@aris_ada" Adamantiadis - DUAL_EC_DRBG : Une histoire de ...
Sthack 2015 - Aris "@aris_ada" Adamantiadis - DUAL_EC_DRBG : Une histoire de ...Sthack 2015 - Aris "@aris_ada" Adamantiadis - DUAL_EC_DRBG : Une histoire de ...
Sthack 2015 - Aris "@aris_ada" Adamantiadis - DUAL_EC_DRBG : Une histoire de ...StHack
 

Tendances (20)

Exercices vhdl
Exercices vhdlExercices vhdl
Exercices vhdl
 
Final
FinalFinal
Final
 
Le microprocesseur
Le microprocesseurLe microprocesseur
Le microprocesseur
 
Démystifier la programmation avec LabVIEW FPGA
Démystifier la programmation avec LabVIEW FPGADémystifier la programmation avec LabVIEW FPGA
Démystifier la programmation avec LabVIEW FPGA
 
Processeur
ProcesseurProcesseur
Processeur
 
Chapitre iii processeur intel 80x86
Chapitre iii processeur intel 80x86Chapitre iii processeur intel 80x86
Chapitre iii processeur intel 80x86
 
Boost.SIMD
Boost.SIMDBoost.SIMD
Boost.SIMD
 
Chapitre 8 - les entrées - sorties
Chapitre 8  - les entrées - sortiesChapitre 8  - les entrées - sorties
Chapitre 8 - les entrées - sorties
 
Architecture du microprocesseur
Architecture du microprocesseurArchitecture du microprocesseur
Architecture du microprocesseur
 
Chapitre ii architecture interne des processeurs
Chapitre ii architecture interne des processeursChapitre ii architecture interne des processeurs
Chapitre ii architecture interne des processeurs
 
Base des systèmes à microprocesseur
Base des systèmes à microprocesseurBase des systèmes à microprocesseur
Base des systèmes à microprocesseur
 
Chapitre i architectures des processeurs récents
Chapitre i architectures des processeurs récentsChapitre i architectures des processeurs récents
Chapitre i architectures des processeurs récents
 
Assembleur
AssembleurAssembleur
Assembleur
 
Cours2 initiation language arduino
Cours2   initiation language arduinoCours2   initiation language arduino
Cours2 initiation language arduino
 
API et Supervision industrielle
API et Supervision industrielle API et Supervision industrielle
API et Supervision industrielle
 
Exposé Le Microprocesseur
Exposé   Le MicroprocesseurExposé   Le Microprocesseur
Exposé Le Microprocesseur
 
Cours1 presentation de l'environnement arduino
Cours1   presentation de l'environnement arduinoCours1   presentation de l'environnement arduino
Cours1 presentation de l'environnement arduino
 
Sthack 2015 - David Berard & Vincent Fargues - Attack the cache to get some cash
Sthack 2015 - David Berard & Vincent Fargues - Attack the cache to get some cashSthack 2015 - David Berard & Vincent Fargues - Attack the cache to get some cash
Sthack 2015 - David Berard & Vincent Fargues - Attack the cache to get some cash
 
Programmation En Langage Pl7 2
Programmation En Langage Pl7 2Programmation En Langage Pl7 2
Programmation En Langage Pl7 2
 
Sthack 2015 - Aris "@aris_ada" Adamantiadis - DUAL_EC_DRBG : Une histoire de ...
Sthack 2015 - Aris "@aris_ada" Adamantiadis - DUAL_EC_DRBG : Une histoire de ...Sthack 2015 - Aris "@aris_ada" Adamantiadis - DUAL_EC_DRBG : Une histoire de ...
Sthack 2015 - Aris "@aris_ada" Adamantiadis - DUAL_EC_DRBG : Une histoire de ...
 

En vedette

Registres de langue (dans les dictionnaires)
Registres de langue (dans les dictionnaires)Registres de langue (dans les dictionnaires)
Registres de langue (dans les dictionnaires)kimo063
 
14827 shift registers
14827 shift registers14827 shift registers
14827 shift registersSandeep Kumar
 
Tableau registres de langue
Tableau  registres de langueTableau  registres de langue
Tableau registres de languelebaobabbleu
 
Un canto amigo
Un canto amigoUn canto amigo
Un canto amigoRita Patri
 
Aprendizaje colaborativo-ventajas
Aprendizaje colaborativo-ventajasAprendizaje colaborativo-ventajas
Aprendizaje colaborativo-ventajascsilguero
 
Tutorial ubuntu
Tutorial ubuntuTutorial ubuntu
Tutorial ubunturocanela
 
La historia oral como recurso metodológico en la enseñanza de la
La historia oral como recurso metodológico en la enseñanza de laLa historia oral como recurso metodológico en la enseñanza de la
La historia oral como recurso metodológico en la enseñanza de laAlfoOnsoO
 
Triangles By Keynote
Triangles By KeynoteTriangles By Keynote
Triangles By Keynotemegpoirot
 
Miercoles de ceniza
Miercoles de cenizaMiercoles de ceniza
Miercoles de cenizaLUZ M.
 
Diferencias entre las agendas digitales peruanas
Diferencias entre las agendas digitales peruanas Diferencias entre las agendas digitales peruanas
Diferencias entre las agendas digitales peruanas jadfr
 
El cuaderno rojo
El cuaderno rojoEl cuaderno rojo
El cuaderno rojoLUZ M.
 
MENUS DE NAVIDAD 2014 - HOTEL TRYP AMBASSADOR
MENUS DE NAVIDAD 2014 - HOTEL TRYP AMBASSADORMENUS DE NAVIDAD 2014 - HOTEL TRYP AMBASSADOR
MENUS DE NAVIDAD 2014 - HOTEL TRYP AMBASSADORASPM
 
Exit the rat race french
Exit the rat race frenchExit the rat race french
Exit the rat race frenchsurfingrainbows
 
Nocion y practica de la situacion problema en historia exposicion equipo 1 s
Nocion y practica de la situacion problema en historia exposicion equipo 1 sNocion y practica de la situacion problema en historia exposicion equipo 1 s
Nocion y practica de la situacion problema en historia exposicion equipo 1 sR00SSEE
 

En vedette (20)

Ccna1 chapitre 4
Ccna1   chapitre 4Ccna1   chapitre 4
Ccna1 chapitre 4
 
Vhdl
VhdlVhdl
Vhdl
 
VHDL - Part 2
VHDL - Part 2VHDL - Part 2
VHDL - Part 2
 
Registres de langue (dans les dictionnaires)
Registres de langue (dans les dictionnaires)Registres de langue (dans les dictionnaires)
Registres de langue (dans les dictionnaires)
 
14827 shift registers
14827 shift registers14827 shift registers
14827 shift registers
 
Tableau registres de langue
Tableau  registres de langueTableau  registres de langue
Tableau registres de langue
 
Shift Registers
Shift RegistersShift Registers
Shift Registers
 
Un canto amigo
Un canto amigoUn canto amigo
Un canto amigo
 
Aprendizaje colaborativo-ventajas
Aprendizaje colaborativo-ventajasAprendizaje colaborativo-ventajas
Aprendizaje colaborativo-ventajas
 
Tutorial ubuntu
Tutorial ubuntuTutorial ubuntu
Tutorial ubuntu
 
La historia oral como recurso metodológico en la enseñanza de la
La historia oral como recurso metodológico en la enseñanza de laLa historia oral como recurso metodológico en la enseñanza de la
La historia oral como recurso metodológico en la enseñanza de la
 
Triangles By Keynote
Triangles By KeynoteTriangles By Keynote
Triangles By Keynote
 
Miercoles de ceniza
Miercoles de cenizaMiercoles de ceniza
Miercoles de ceniza
 
Diferencias entre las agendas digitales peruanas
Diferencias entre las agendas digitales peruanas Diferencias entre las agendas digitales peruanas
Diferencias entre las agendas digitales peruanas
 
Facebook
FacebookFacebook
Facebook
 
El cuaderno rojo
El cuaderno rojoEl cuaderno rojo
El cuaderno rojo
 
MENUS DE NAVIDAD 2014 - HOTEL TRYP AMBASSADOR
MENUS DE NAVIDAD 2014 - HOTEL TRYP AMBASSADORMENUS DE NAVIDAD 2014 - HOTEL TRYP AMBASSADOR
MENUS DE NAVIDAD 2014 - HOTEL TRYP AMBASSADOR
 
Exit the rat race french
Exit the rat race frenchExit the rat race french
Exit the rat race french
 
Producto 6
Producto 6Producto 6
Producto 6
 
Nocion y practica de la situacion problema en historia exposicion equipo 1 s
Nocion y practica de la situacion problema en historia exposicion equipo 1 sNocion y practica de la situacion problema en historia exposicion equipo 1 s
Nocion y practica de la situacion problema en historia exposicion equipo 1 s
 

Similaire à Vhdl bousmah f

Introdot Netc Sharp Fr
Introdot Netc Sharp FrIntrodot Netc Sharp Fr
Introdot Netc Sharp FrGregory Renard
 
Cours services web_fabrice_mourlin
Cours services web_fabrice_mourlinCours services web_fabrice_mourlin
Cours services web_fabrice_mourlinangeeLee
 
cours-gratuit.com--coursMySql-id2218.pdf
cours-gratuit.com--coursMySql-id2218.pdfcours-gratuit.com--coursMySql-id2218.pdf
cours-gratuit.com--coursMySql-id2218.pdfGroupeExcelMarrakech
 
Présentation de Django @ Orange Labs (FR)
Présentation de Django @ Orange Labs (FR)Présentation de Django @ Orange Labs (FR)
Présentation de Django @ Orange Labs (FR)Martin Latrille
 
Introduction aux Technologies Web élaborée par Marouan OMEZZINE
Introduction aux Technologies Web élaborée par Marouan OMEZZINEIntroduction aux Technologies Web élaborée par Marouan OMEZZINE
Introduction aux Technologies Web élaborée par Marouan OMEZZINEMarouan OMEZZINE
 
Chap1-Introduction au HTML 5.pdf
Chap1-Introduction au HTML 5.pdfChap1-Introduction au HTML 5.pdf
Chap1-Introduction au HTML 5.pdfENS
 
Conférence #nwx2014 - Maxime Mauchaussée - Partager du code maintenable et év...
Conférence #nwx2014 - Maxime Mauchaussée - Partager du code maintenable et év...Conférence #nwx2014 - Maxime Mauchaussée - Partager du code maintenable et év...
Conférence #nwx2014 - Maxime Mauchaussée - Partager du code maintenable et év...Normandie Web Xperts
 
Comment travailler avec les logiciels Open Source
Comment travailler avec les logiciels Open SourceComment travailler avec les logiciels Open Source
Comment travailler avec les logiciels Open SourceChristian Charreyre
 
Py osv newsletter-042018
Py osv newsletter-042018Py osv newsletter-042018
Py osv newsletter-042018FabMob
 
HTML5, le web de demain - BNSA
HTML5, le web de demain - BNSAHTML5, le web de demain - BNSA
HTML5, le web de demain - BNSABNSA - Aquitaine
 
Visual Basic 9.0 – Visual Studio 2008 Quoi De Neuf 2.0
Visual Basic 9.0 – Visual Studio 2008 Quoi De Neuf 2.0Visual Basic 9.0 – Visual Studio 2008 Quoi De Neuf 2.0
Visual Basic 9.0 – Visual Studio 2008 Quoi De Neuf 2.0Gregory Renard
 
Visual Basic 9.0 – Visual Studio 2008 Quoi De Neuf 2.0
Visual Basic 9.0 – Visual Studio 2008 Quoi De Neuf 2.0Visual Basic 9.0 – Visual Studio 2008 Quoi De Neuf 2.0
Visual Basic 9.0 – Visual Studio 2008 Quoi De Neuf 2.0Gregory Renard
 
architectureNTiers.pdf
architectureNTiers.pdfarchitectureNTiers.pdf
architectureNTiers.pdfbetxso156
 
Les nouveautés du Framework .NET 4.5
Les nouveautés du Framework .NET 4.5Les nouveautés du Framework .NET 4.5
Les nouveautés du Framework .NET 4.5Microsoft
 

Similaire à Vhdl bousmah f (20)

Cours VHLD.pptx
Cours VHLD.pptxCours VHLD.pptx
Cours VHLD.pptx
 
Hady bah l3
Hady bah l3Hady bah l3
Hady bah l3
 
Introdot Netc Sharp Fr
Introdot Netc Sharp FrIntrodot Netc Sharp Fr
Introdot Netc Sharp Fr
 
Cours services web_fabrice_mourlin
Cours services web_fabrice_mourlinCours services web_fabrice_mourlin
Cours services web_fabrice_mourlin
 
Compte rendu Blend Web Mix 2015
Compte rendu Blend Web Mix 2015Compte rendu Blend Web Mix 2015
Compte rendu Blend Web Mix 2015
 
cours-gratuit.com--coursMySql-id2218.pdf
cours-gratuit.com--coursMySql-id2218.pdfcours-gratuit.com--coursMySql-id2218.pdf
cours-gratuit.com--coursMySql-id2218.pdf
 
Présentation de Django @ Orange Labs (FR)
Présentation de Django @ Orange Labs (FR)Présentation de Django @ Orange Labs (FR)
Présentation de Django @ Orange Labs (FR)
 
Introduction aux Technologies Web élaborée par Marouan OMEZZINE
Introduction aux Technologies Web élaborée par Marouan OMEZZINEIntroduction aux Technologies Web élaborée par Marouan OMEZZINE
Introduction aux Technologies Web élaborée par Marouan OMEZZINE
 
Chapter1
Chapter1Chapter1
Chapter1
 
43_pps.pdf
43_pps.pdf43_pps.pdf
43_pps.pdf
 
Chap1-Introduction au HTML 5.pdf
Chap1-Introduction au HTML 5.pdfChap1-Introduction au HTML 5.pdf
Chap1-Introduction au HTML 5.pdf
 
Conférence #nwx2014 - Maxime Mauchaussée - Partager du code maintenable et év...
Conférence #nwx2014 - Maxime Mauchaussée - Partager du code maintenable et év...Conférence #nwx2014 - Maxime Mauchaussée - Partager du code maintenable et év...
Conférence #nwx2014 - Maxime Mauchaussée - Partager du code maintenable et év...
 
Comment travailler avec les logiciels Open Source
Comment travailler avec les logiciels Open SourceComment travailler avec les logiciels Open Source
Comment travailler avec les logiciels Open Source
 
Py osv newsletter-042018
Py osv newsletter-042018Py osv newsletter-042018
Py osv newsletter-042018
 
REX Ansible
REX AnsibleREX Ansible
REX Ansible
 
HTML5, le web de demain - BNSA
HTML5, le web de demain - BNSAHTML5, le web de demain - BNSA
HTML5, le web de demain - BNSA
 
Visual Basic 9.0 – Visual Studio 2008 Quoi De Neuf 2.0
Visual Basic 9.0 – Visual Studio 2008 Quoi De Neuf 2.0Visual Basic 9.0 – Visual Studio 2008 Quoi De Neuf 2.0
Visual Basic 9.0 – Visual Studio 2008 Quoi De Neuf 2.0
 
Visual Basic 9.0 – Visual Studio 2008 Quoi De Neuf 2.0
Visual Basic 9.0 – Visual Studio 2008 Quoi De Neuf 2.0Visual Basic 9.0 – Visual Studio 2008 Quoi De Neuf 2.0
Visual Basic 9.0 – Visual Studio 2008 Quoi De Neuf 2.0
 
architectureNTiers.pdf
architectureNTiers.pdfarchitectureNTiers.pdf
architectureNTiers.pdf
 
Les nouveautés du Framework .NET 4.5
Les nouveautés du Framework .NET 4.5Les nouveautés du Framework .NET 4.5
Les nouveautés du Framework .NET 4.5
 

Vhdl bousmah f

  • 1. VHDL Support cours 5ITR Prof. D. Mohammed BOUSMAH bousmah@gmail.com Copyright bousmah@gmail.com
  • 2. Sommaire 1. Introduction à VHDL 2. Le langage VHDL éléments de syntaxe Les objets du langage Les instructions Structures d'un programme. 3. Modélisation à l'aide du langage VHDL 4. Simulation fonctionnelle et temporelle du code VHDL 5. Implémentation de code VHDL Copyright bousmah@gmail.com
  • 3. Qu’est ce que VHDL? Introduction à VHDL Copyright bousmah@gmail.com
  • 4. Introduction à VHDL Développé dans les années 80 aux États-Unis, le langage de description VHDL est ensuite devenu une norme IEEE numéro 1076 en 1987. Révisée en 1993 pour supprimer quelques ambiguïtés et améliorer la portabilité du langage, cette norme est vite devenue un standard en matière d'outils de description de fonctions logiques. A ce jour, on utilise le langage VHDL pour : • Concevoir des ASIC (Application Specific Integrated Circuit). • Programmer des composants programmables du type PLD, CPLD et FPGA( Field Programmable Gate Array) • Concevoir des modèles de simulations numériques ou des bancs de tests. Copyright bousmah@gmail.com
  • 5. Introduction à VHDL Copyright bousmah@gmail.com
  • 6. Introduction à VHDL Pourquoi un langage de description ? • Premièrement: Il est plus facile de décrire un circuit numérique en utilisant l’outil de description VHDL qu’un schéma ou logigramme. • Deuxièmement: c’est un langage de description de haut niveau car il fait abstraction à l’objet auquel ou pour lequel il est écrit (Portabilité des descriptions VHDL). Copyright bousmah@gmail.com
  • 7. Introduction à VHDL Pourquoi un langage de description ? Copyright bousmah@gmail.com
  • 8. Introduction à VHDL Les limites actuelles Création de modèles de simulations :NORME IEEE VHDL La totalité de la norme peut être utilisée pour réaliser des modèles de simulations. Création d'un circuit intégré :NORME IEEE VHDL Seule une partie de la norme peut être utilisée pour réaliser des circuits. Copyright bousmah@gmail.com
  • 9. Introduction à VHDL Les limites actuelles Copyright bousmah@gmail.com
  • 10. Introduction à VHDL Caractéristiques VHDL Copyright bousmah@gmail.com
  • 12. Le langage VHDL Copyright bousmah@gmail.com
  • 13. Le langage VHDL Toute déclaration VHDL utilisée pour la synthèse utilise des bibliothèques. L'IEEE (Institute of Electrical and Electronics Engineers) produit des normes, et a donc crée la norme IEEE 1164 qui contient la définition des signaux électroniques, fonctions et sous programmes permettant d'effectuer des opérations, …. Copyright bousmah@gmail.com
  • 14. Le langage VHDL Elle permet de définir le NOM de la description VHDL ainsi que les entrées et sorties utilisées, l'instruction qui les définit est port : Copyright bousmah@gmail.com
  • 15. Le langage VHDL L'instruction port. Entre les deux parenthèses, elle contient la déclaration des entrées/ sorties qui composent notre block entité. Copyright bousmah@gmail.com
  • 16. Le langage VHDL Il est composé de caractères, le premier doit être une lettre, longueur quelconque mais ne doit pas dépasser une ligne de code. VHDL n'est pas sensible à la casse, pas de distinctions entre majuscules et minuscules. Copyright bousmah@gmail.com
  • 17. Le langage VHDL Copyright bousmah@gmail.com
  • 18. Le langage VHDL Copyright bousmah@gmail.com
  • 19. Le langage VHDL L'architecture décrit le fonctionnement souhaité par le circuit. L'architecture est composée d'une suite d'instructions ou d'équations qui décrivent le fonctionnement du circuit. Cette suite sera d'une syntaxe similaire à celle de l'entité. Copyright bousmah@gmail.com
  • 20. Le langage VHDL Copyright bousmah@gmail.com
  • 21. Le langage VHDL Copyright bousmah@gmail.com
  • 22. Le langage VHDL Copyright bousmah@gmail.com
  • 23. Le langage VHDL Copyright bousmah@gmail.com
  • 24. Le langage VHDL Copyright bousmah@gmail.com
  • 25. Le langage VHDL Copyright bousmah@gmail.com
  • 26. Le langage VHDL Copyright bousmah@gmail.com
  • 27. Le langage VHDL Copyright bousmah@gmail.com
  • 28. Le langage VHDL Copyright bousmah@gmail.com
  • 29. Modélisation à l'aide du langage VHDL Le langage VHDL est conçu de manière à nous permettre de modéliser des systèmes complexes décrits à des niveaux d’abstractions très différents. En d’autres mots, VHDL intègre adéquatement les méthodologies de conception de systèmes dites “Top/Down”. De plus, VHDL est un langage modulaire et hiérarchique. Un système complexe peut être divisé en plusieurs blocs, chaque bloc peut à son tour être divisé en plusieurs sous blocs et ainsi de suite. L’interface entre les différents blocs se fait par des “liens de communication”. De la même manière, un modèle VHDL peut être composé de plusieurs sous-modules, chaque sous-module peut être divisé à son tour en d’autres sousmodules et ainsi de suite. Copyright bousmah@gmail.com
  • 30. Modélisation à l'aide du langage VHDL VHDL a d’abord été proposé comme un langage de modélisation et il a graduellement été utilisé comme un langage de synthèse. Cependant, il faut noter que les possibilités de modélisation avec VHDL dépassent de loin les besoins de la synthèse. Certaines caractéristiques abstraites de VHDL n’ont pas d’équivalent dans la logique digitale. Autrement dit, la synthèse ne supporte qu’un sous ensemble des possibilités de VHDL. Exemples: Voir TP Copyright bousmah@gmail.com
  • 31. Simulation fonctionnelle et temporelle du code VHDL La réalisation d’un projet VHDL les étapes suivantes : Spécification Validation fonctionnelle Design correct non oui Validation temporelle non Spécifications temporelles correctes oui Programmation Copyright bousmah@gmail.com
  • 32. Simulation fonctionnelle et temporelle du code VHDL Spécification : saisie du circuit logique (spécification syntaxique : VHDL, Verilog, mode graphique, etc.) Validation fonctionnelle : simulation fonctionnelle du concept (problèmes des sorites/sorties, boucles, etc.). Vérification ne prenant pas en compte les aspects temporels du circuit Validation temporelle : Simulation temporelle (et fonctionnelle) du circuit (temps de propagation, recouvrement de signaux, etc.). Copyright bousmah@gmail.com
  • 33. Implémentation de code VHDL Implémentation : le programme est porté physiquement sur le circuit FPGA en fonction des spécifications précisé par le programmeur (pins, etc.) FPGA de Xilinx (modèle Spartan XC3S400) avec 400 000 portes1 Copyright bousmah@gmail.com
  • 34. Implémentation de code VHDL Copyright bousmah@gmail.com
  • 35. Implémentation de code VHDL En effet; un circuit logique programmable, ou réseau logique programmable, est un circuit intégré logique qui peut être reprogrammé après sa fabrication. Il est composé de nombreuses cellules logiques élémentaires librement assemblables. Ce type de composant électronique est communément désigné par différents sigles anglais dont notamment : FPGA (field-programmable gate array, réseau de portes programmables in situ) ; PLD (programmable logic device, circuit logique programmable) ; EPLD (erasable programmable logic device, circuit logique programmable et effaçable) ; CPLD (complex programmable logic device, circuit logique programmable complexe) ; PAL (programmable array logic, réseau logique programmable) ; Bien que fondamentalement synonymes, ces termes ne sont généralement pas interchangeables dans le vocabulaire commercial des fabricants : FPGA désigne plutôt des composants à technologie RAM, EPLD des composants à technologie FLASH, PAL des composants à technologie anti fusible. fusible Copyright bousmah@gmail.com
  • 36. Implémentation de code VHDL Copyright bousmah@gmail.com
  • 37. TP: XILINX ISE 9.2i Copyright bousmah@gmail.com
  • 38. TP: XILINX ISE 9.2i Copyright bousmah@gmail.com
  • 39. TP: XILINX ISE 9.2i Copyright bousmah@gmail.com
  • 40. TP: XILINX ISE 9.2i Copyright bousmah@gmail.com
  • 41. TP: XILINX ISE 9.2i Copyright bousmah@gmail.com
  • 42. TP: XILINX ISE 9.2i Copyright bousmah@gmail.com
  • 43. TP: XILINX ISE 9.2i Copyright bousmah@gmail.com
  • 44. TP: XILINX ISE 9.2i Copyright bousmah@gmail.com
  • 45. TP: XILINX ISE 9.2i Copyright bousmah@gmail.com
  • 46. TP: XILINX ISE 9.2i Copyright bousmah@gmail.com
  • 47. TP: XILINX ISE 9.2i Copyright bousmah@gmail.com
  • 48. TP: XILINX ISE 9.2i Copyright bousmah@gmail.com
  • 49. TP: XILINX ISE 9.2i Copyright bousmah@gmail.com
  • 50. TP: XILINX ISE 9.2i Copyright bousmah@gmail.com
  • 51. TP: XILINX ISE 9.2i Copyright bousmah@gmail.com
  • 52. TP: XILINX ISE 9.2i Copyright bousmah@gmail.com
  • 53. TP: XILINX ISE 9.2i Copyright bousmah@gmail.com
  • 54. TP: XILINX ISE 9.2i Copyright bousmah@gmail.com
  • 55. TP: XILINX ISE 9.2i Copyright bousmah@gmail.com
  • 56. TP: XILINX ISE 9.2i Copyright bousmah@gmail.com
  • 57. TP: XILINX ISE 9.2i Copyright bousmah@gmail.com
  • 58. TP: XILINX ISE 9.2i Copyright bousmah@gmail.com
  • 59. TP: XILINX ISE 9.2i Copyright bousmah@gmail.com
  • 60. TP: XILINX ISE 9.2i Copyright bousmah@gmail.com
  • 61. TP: XILINX ISE 9.2i Copyright bousmah@gmail.com
  • 62. TP: XILINX ISE 9.2i Copyright bousmah@gmail.com
  • 63. TP: XILINX ISE 9.2i Copyright bousmah@gmail.com
  • 64. TP: XILINX ISE 9.2i Copyright bousmah@gmail.com
  • 65. TP: XILINX ISE 9.2i Copyright bousmah@gmail.com
  • 66. TP: XILINX ISE 9.2i Copyright bousmah@gmail.com
  • 67. TP: XILINX ISE 9.2i Copyright bousmah@gmail.com
  • 68. TP: XILINX ISE 9.2i Copyright bousmah@gmail.com
  • 69. TP: XILINX ISE 9.2i Copyright bousmah@gmail.com
  • 70. TP: XILINX ISE 9.2i Copyright bousmah@gmail.com
  • 71. TP: XILINX ISE 9.2i Copyright bousmah@gmail.com
  • 72. TP: XILINX ISE 9.2i Copyright bousmah@gmail.com
  • 73. TP: XILINX ISE 9.2i Copyright bousmah@gmail.com
  • 74. TP: XILINX ISE 9.2i Copyright bousmah@gmail.com
  • 75. TP: XILINX ISE 9.2i Copyright bousmah@gmail.com
  • 76. TP: XILINX ISE 9.2i Copyright bousmah@gmail.com
  • 77. TP: XILINX ISE 9.2i Copyright bousmah@gmail.com
  • 78. TP: XILINX ISE 9.2i Copyright bousmah@gmail.com
  • 79. Références D.Chillet Support de cours VHDL ENSSAT - Université de Rennes 1 – France Richard GRISEL « Les FPGA » IUP GEE Amiens Dantec – Lannion VHDL combinatoire M TAYARI.L «Guide pratique d’initiation à XILINX ISE 9.2i» ISET DE NABEUL Philip Simpso FPGA Design: Best Practices for Team-Based Design Jacques Weber et all. Le langage VHDL : du langage au circuit, du circuit au langage - 4e édition: Cours et exercices corrigés Copyright bousmah@gmail.com
  • 80. Annexes Annexe 1: Syntaxe détaillée VHDL Copyright bousmah@gmail.com
  • 81. Annexe 1: Syntaxe détaillée VHDL Structure d’une description VHDL Entité et architecture En VHDL, une structure logique est décrite à l'aide d'une entité et d'une architecture de la façon suivante : ENTITY Nom de l'entité IS Description des entrées et des sorties de la structure en explicitant pour chacune d'entre elles le nom, la direction (IN, OUT et INOUT) et le type. END Nom de l'entity ; ARCHITECTURE Nom de l'architecture OF Nom de l'entité IS Zone de déclaration. BEGIN Description de la structure logique. END nom de l'architecture ; Copyright bousmah@gmail.com
  • 82. Annexe 1: Syntaxe détaillée VHDL Exemple d’un additionneur 1 bit: Entity additionneur is port (A, B : in bit; Som, Ret : out bit); End additionneur ; Architecture arch_addi of additionneur is begin Som <= A xor B; Ret <= A and B; End arch_addi; Copyright bousmah@gmail.com
  • 83. Annexe 1: Syntaxe détaillée VHDL Remarques: • L'entité donne les informations concernant les signaux d'entrées et de sorties de la structure ainsi que leurs noms et leurs types. • L'architecture décrit le comportement de l'entité. • Il est possible de créer plusieurs architectures pour une même entité. Chacune de ces architectures décrira l'entité de façon différente. Copyright bousmah@gmail.com
  • 84. Annexe 1: Syntaxe détaillée VHDL Description comportementale et structurelle Il existe deux façons distinctes de décrire une structure. L'une dite comportementale et l'autre structurelle. • Description comportementale :Dans ce type de description, le comportement de la structure est directement inscrit dans l'architecture à l'aide d'instructions séquentielles ou sous forme de flow de données. Description comportementale à l'aide d'instructions séquentielles : architecture Arch_comp1 of entité_X is begin process (A, B) begin if (A = '0' and B = '0') then Som <= '0'; Ret <= '0'; if (A = '0' and B = '1') then Som <= '1'; Ret <= '0'; if (A = '1' and B = '0') then Som <= '1'; Ret <= '0'; if (A = '1' and B = '1') then Som <= ‘0'; Ret <= '1'; end if; end process; end arch_comp1; Copyright bousmah@gmail.com
  • 85. Annexe 1: Syntaxe détaillée VHDL Description comportementale sous forme de flow de données : architecture Arch_comportementale of entité_X is begin Som <= A xor B; Ret <= A and B; end arch_comportementale; • Description structurelle: Dans ce type de description, on relie entre eux des composants préalablement décrits en VHDL. Entity XOU is port (E1, E2 : in bit; S1 : out bit); end XOU; architecture A_XOU of XOU is begin S1 <= E1 XOR E2; end A_XOU; entity ET is port (E3, E4 : in bit; S2 : out bit); end ET; architecture A_ET of ET is begin S2 <= E3 AND E4; end A_ET; Copyright bousmah@gmail.com
  • 86. Annexe 1: Syntaxe détaillée VHDL Entity add is port (A, B : in bit; Som, Ret : out bit); end add; architecture Arch_structurelle of add is --Déclaration du composant XOU component XOU port (E1, E2 : in bit; S1 : out bit); end component; --Déclaration du composant ET component ET port (E3, E4 : in bit; S2 : out bit); end component; --Spécification de l'entité et de l'architecture utiliser pour les composants ET et XOU. for U1 : XOU use entity work.XOU(A_XOU); for U2 : ET use entity work.ET(A_ET); --Câblage des composants XOU et ET appelé U1 et U2. begin U1 : XOU port map (A, B, Som); U2 : ET port map (A, B, Ret); end arch_structurelle; Copyright bousmah@gmail.com
  • 87. Annexe 1: Syntaxe détaillée VHDL Configuration, package et package body Admettons que plusieurs architectures aient été créées pour une entité A utilisée au sein d’une architecture arch_princ de l'entité princ. Lors de la synthèse ou de la simulation de l'entité princ, il va être nécessaire de spécifier quelles sont les architectures à utiliser. Cette spécification peut être faite grâce à l'utilisation d'une configuration. Copyright bousmah@gmail.com
  • 88. Annexe 1: Syntaxe détaillée VHDL • La configuration permet, comme son nom l'indique, de configurer l'entité à laquelle elle est associée. On peut, par exemple, spécifier les architectures à utiliser pour tous les composants déclarés au sein d'une description. • Pour qu'une description soit portable, c'est-à-dire synthétisable ou simulable sur des outils différents et pour des composants cibles différents, il est préférable de n'avoir qu'une seule architecture par entité. Les configurations deviennent alors inutiles. Copyright bousmah@gmail.com
  • 89. Annexe 1: Syntaxe détaillée VHDL Dans le langage VHDL, il est possible de créer des “package” et “package body” dont les rôles sont de permettre le regroupement de données, variables, fonctions, procédures, etc., que l'on souhaite pouvoir utiliser ou appeler à partir d'architectures. Il est ainsi possible de se créer une sorte de bibliothèque d'objets (constantes, variables particulières, fonctions ou procédures spécifiques, etc.) que l'on pourra appeler à partir de plusieurs descriptions. Cette possibilité est particulièrement intéressante lorsque l'on utilise, au sein d'un projet contenant plusieurs entités, des ressources (constantes, variables particulières, fonctions...) identiques. Imaginons, par exemple, qu'un projet contenant deux entités A et B aux Architectures respectives arch_A et arch_B utilisant la même constante de temps tps_propa = 27ns. L'utilisation d'un package nommé pack_proj etd'un package body nommé pack_bod donnerait : Copyright bousmah@gmail.com
  • 90. Annexe 1: Syntaxe détaillée VHDL Copyright bousmah@gmail.com
  • 91. Annexe 1: Syntaxe détaillée VHDL La ligne de commande “use work.pack_proj.all” qui se trouve en tête des déclarations des entités a pour but de prévenir l'analyseur ou le synthétiseur que l'on va utiliser des éléments du package pack_proj, en l'occurrence la constante de type time tps_propa initialisée à 27ns. Copyright bousmah@gmail.com
  • 92. Annexe 1: Syntaxe détaillée VHDL Les instructions concurrentes et séquentielles • Les instructions concurrentes:Elles s’exécutent de façon parallèle. Les sorties sont réactualisées en continu en fonction des changements observés sur les entrées. On peut dissocier trois types d’instructions concurrentes : - Les instructions d'affectation utilisée au sein des exemples précédents qui correspondent à l'écriture d'une équation booléenne, - Les instructions conditionnelles du type "affectation When condition else ...", - Les instructions conditionnelles du type "With signal select affectation When condition else ...", Copyright bousmah@gmail.com
  • 93. Annexe 1: Syntaxe détaillée VHDL L'instruction When else Cette instruction permet d'affecter un signal à une expression (expression = signal, équation ou valeur) pour certaines conditions (conditions sur les signaux de type IN ou INOUT). Voici un exemple d'utilisation de ce type d'instruction lors de la description d'un multiplexeur : entity exemple is port (A, B, C : IN bit; Sel : IN bit_vector (1 downto 0); S : OUT bit); end exemple; architecture exemple of exemple is begin S <= A when (Sel = "00") else B when (Sel = "01") else C when (Sel = "10") else '0'; end exemple; Copyright bousmah@gmail.com
  • 94. Annexe 1: Syntaxe détaillée VHDL L'instruction With select when Cette instruction est semblable à la précédente avec en plus une précision préalable du signal sur lequel vont se porter les conditions. En reprenant l'exemple précédent, on obtient donc : entity exemple is port (A, B, C : IN bit; Sel : IN bit_vector (1 downto 0); S : OUT bit); end exemple; architecture exemple of exemple is begin With Sel select S <= A when "00", B when "01", C when "10", '0' when others; end exemple Copyright bousmah@gmail.com
  • 95. Annexe 1: Syntaxe détaillée VHDL Les instructions séquentielles Les process le langage VHDL propose une solution simple qui consiste à créer des ensembles appelés “process”, regroupant des instructions séquentielles et se comportant, d'un point de vue externe, comme des Instructions concurrentes. Voici un exemple d'équivalence entre deux descriptions, l'une à base d'instructions concurrentes et l'autre à base d'instructions séquentielles regroupées au sein d'un process. Copyright bousmah@gmail.com
  • 96. Annexe 1: Syntaxe détaillée VHDL Copyright bousmah@gmail.com
  • 97. Annexe 1: Syntaxe détaillée VHDL A travers cet exemple, on constate que les deux process se comportent comme deux instructions concurrentes. L'exécution d'un process est concurrente même si les instructions qu'il contient sont séquentielles. Notons que la déclaration d'un process débute par le mot clé “process”, suivi d'une liste de noms de signaux.Cette liste est appelée “liste de sensibilité”, elle contient le nom des signaux dont le changement d'état va provoquer l'exécution du process. En d'autres termes, le process dont la déclaration est la suivante : process (A, B) va être exécuté à chaque changement d'état de l'un (ou des deux) signal(aux) A et B. L'exécution d'un process n'a lieu qu'en cas de changement d'état de l'un (ou de plusieurs) signal(aux) compris dans la liste de sensibilité. Copyright bousmah@gmail.com
  • 98. Annexe 1: Syntaxe détaillée VHDL INSTRUCTION IF IF condition1 THEN instructions séquentielles; ELSIF condition2 THEN instructions séquentielles; ELSIF condition3 THEN instructions séquentielles; ELSE instructions séquentielles; END IF; Remarques : Il est possible d’imbriquer plusieurs boucles IF les unes dans les autres. IF ... THEN IF ... THEN ELSIF ... THEN END IF; ELSE END IF; Les instructions ELSIF et ELSE ne sont pas obligatoires. Copyright bousmah@gmail.com
  • 99. Annexe 1: Syntaxe détaillée VHDL BOUCLE FOR FOR paramètre IN intervalle LOOP instructions séquentielles; END LOOP; Qui se lit: POUR le paramètre COMPRIS dans l'intervalle EXECUTER instructions séquentielles; FIN DE LA BOUCLE; Exemple : FOR i IN 0 to 3 LOOP IF (A = i) THEN S <= B; END IF; END LOOP; Exécuter, pour i = 0, i = 1, i = 2 puis i = 3 les instructions suivantes : SI (A = i) THEN S <= B; END IF; FIN DE LA BOUCLE; Copyright bousmah@gmail.com
  • 100. Annexe 1: Syntaxe détaillée VHDL INSTRUCTION CASE CASE signal IS WHEN valeur1 => instructions séquentielles; WHEN valeur2 => instructions séquentielles; WHEN valeur3 => instructions séquentielles; WHEN OTHERS =>instructions séquentielles; END CASE; Qui se lit: CAS possibles de l’expression EST LORSQUE signal = valeur1 => instructions séquentielles; LORSQUE signal = valeur2 => instructions séquentielles; LORSQUE signal = valeur3 => instructions séquentielles; LORSQUE signal = AUTRES => instructions séquentielles; FIN DE CAS; Remarques : L’instruction CASE, souvent appelée “switch case”, convient parfaitement pour décrire un diagramme d'état, un grafcet ou tout autre formalisme de Structures séquentielles. L'instruction “When others” n'est pas obligatoire, elle représente une facilité d'écriture. Copyright bousmah@gmail.com
  • 101. Annexe 1: Syntaxe détaillée VHDL Les vecteurs de signaux le langage de description VHDL permet la déclaration et la manipulation des vecteurs de signaux ou des bus de signaux. Exemple:Multiplexeur de vecteurs de signaux. S = A si sel = ’1’ et S = B si sel = ’0’. Entity MUX is port (sel : in bit; A : in bit_vector (7 downto 0); B : in bit_vector (7 downto 0); S : out bit_vector (7 downto 0)); end MUX; architecture Arch_MUX of MUX is begin S <= A when SEL = '1' else S <= B when SEL <= '0'; end Arch_MUX; Copyright bousmah@gmail.com
  • 102. Annexe 1: Syntaxe détaillée VHDL Déclaration GENERIC Typiquement, on utilise une déclaration de GENERIC pour spécifier des temps de propagation et autres délais de portes logiques. Ces temps seront alors modifiables lors de l'utilisation de ces portes logiques dans une description structurelle. Voici un exemple d'utilisation de déclarations de GENERIC : Copyright bousmah@gmail.com
  • 103. Annexe 1: Syntaxe détaillée VHDL Les fonctions et procédures Le langage VHDL permet l'utilisation et la création de fonctions ou de procédures que l'on peut appeler à partir d'une architecture. Le rôle de ces fonctions ou procédures est de permettre, au sein d'une description, la création d'outils dédiés à certaines tâches pour un type déterminé de signaux . La syntaxe d’une fonction est la suivante : FUNCTION nom de la fonction (liste des paramètres de la fonction avec leur type) RETURN type du paramètre de retour IS zone de déclaration des variables; BEGIN ...........; instructions séquentielles; ...........; RETURN nom de la variable de retour ou valeur de retour; END; Copyright bousmah@gmail.com
  • 104. Annexe 1: Syntaxe détaillée VHDL Exemple: Dans le montage ci-dessous, on utilise à quatre reprises la fonction logique NONET à trois entrées. On décide donc de décrire ce montage en créant une description VHDL comportant une fonction nommée NONET. Copyright bousmah@gmail.com
  • 105. Annexe 1: Syntaxe détaillée VHDL Une fonction reçoit donc des paramètres d'entrées et renvoie un paramètre de sortie. Dans l'exemple précédent, la fonction reçoit trois paramètres A, B et C et retourne un paramètre S. Le mot clé RETURN permet d'associer au paramètre de sortie une valeur. Une fonction peut donc contenir plusieurs RETURN, exemple : FUNCTION bool_vers_bit (X : boolean) RETURN bit IS BEGIN if X then RETURN '1'; else RETURN '0'; end if; END; Cette fonction, qui convertit un signal de type booléen en un signal de type bit, possède deux RETURN. L'un pour renvoyer la valeur '1' et l'autre pour la valeur '0'. Notons qu'il est possible de déclarer une variable interne à la fonction que l'on pourra affecter au paramètre de sortie (voir exemple précédent), ce type d'affectation "signal <= variable" est impossible en dehors des fonctions, procédures ou process. En ce qui concerne l'appel d'une fonction, il peut être fait à partir d'instructions séquentielles ou concurrentes. Dans le cas des instructions concurrentes, la fonction sera toujours vérifiée. Copyright bousmah@gmail.com
  • 106. Annexe 1: Syntaxe détaillée VHDL Procédures Les procédures, diffèrent des fonctions par le fait qu'elles acceptent des paramètres dont la direction peut être IN, INOUT et OUT. Une procédure ne possède donc pas un ensemble de paramètres d'entrées et un paramètre de sortie mais un ensemble de paramètres d'entrées-sorties et aucun paramètre spécifique de sortie. Prenons l'exemple de la description d'une bascule RS à travers une procédure : Entity test_de_rs is port (E1, E2 : IN bit; S1, S2 INOUT bit); end test_de_RS; Q Architecture test_de_RS of test_de_RS is PROCEDURE RS (signal A, B : IN bit; signal Q, : INOUT bit) IS Q BEGIN Q <= not (A or ); Q <= not (B or Q); END; begin RS (E1, E2, S1, S2); end test_de_RS; Q Copyright bousmah@gmail.com
  • 107. Annexe 1: Syntaxe détaillée VHDL On constate que les paramètres A, B, Q et de la procédure ont été explicitement décrits. Ainsi, Q la liste des paramètres contient : – le genre de paramètre, variable (valeur par défaut), signal ou constante, – le nom des paramètres, dans notre cas, A, B, Q et (ces noms ne sont connus en temps que Q Q paramètres qu'à l'intérieur de la procédure), – la direction de chaque paramètre, IN, OUT ou INOUT (dans notre cas INOUT pour Q et Q qui sont utilisés en lecture IN et écriture OUT au sein de la procédure), – le type des paramètres, bit dans notre cas. Remarque : Dans l'exemple ci-dessus, les paramètres de la procédure étaient de genre signal, ce qui impliquait les affectations "<=" propres aux signaux. Dans le cas où les paramètres sont de genre variable, il faut utiliser les symboles ":=" propres aux variables. Si l’on reprend cet exemple, en utilisant des paramètres de genre variable, on obtient une description moins lisible qui est la suivante : Copyright bousmah@gmail.com
  • 108. Annexe 1: Syntaxe détaillée VHDL architecture test_rs of test_rs is PROCEDURE RS (signal A, B : IN bit; variable Q, : INOUT bit) IS BEGIN Q Q := not (A or ); Q := not (B or Q); Q END; begin process (E1, E2) variable Q1, Q2 : bit; begin RS (E1, E2, Q1, Q2); if (Q1 = '1') then S1 <= '1'; else S1 <= '0'; end if; if (Q2 = '1') then S2 <= '1'; else S2 <= '0'; end if; end process; end test_rs; Copyright bousmah@gmail.com
  • 109. Déclaration des fonctions et procédures au sein de packages Dans les exemples qui précèdent, les fonctions ou procédures ont été insérées dans des architectures. Or, dans ce cas précis, les fonctions ou procédures en question ne sont accessibles que dans l'architecture dans laquelle elles ont été décrites. Pour les rendre accessibles à partir de plusieurs architectures, il est nécessaire de les déclarer au sein de packages et de déclarer en amont de l'architecture vouloir utiliser ce package. En reprenant l'exemple de la création d'une fonction NONET et en insérant cette fonction au sein d'un package, voici ce que l'on obtient : Toute fonction ou procédure déclarée au sein d'un package est décrite dans le package body associé à ce package. Copyright bousmah@gmail.com
  • 110. Annexe 1: Syntaxe détaillée VHDL Les types prédéfinis ou non, surcharge des opérateurs, fonction de résolution • Les types prédéfinis et les opérateurs associés: Le type d'un signal définit l'ensemble auquel appartient un signal. Le langage VHDL initial propose des types prédéfinis, c'est-à-dire des types pour lesquels l'ensemble est clairement défini.Voici les types prédéfinis proposés par le langage VHDL initial : Nom du type Définition de l'ensemble BIT Deux valeurs possibles ’0’ ou ’1’ INTEGER Entiers (nombre positif ou négatif sur 32 bits) REAL Réels BOOLEAN 2 valeurs possibles true ou false CHARACTER Caractères a, b, c ..., 1, 2 . TIME Nombre réel de temps fs, ps ..., min, hr. Copyright bousmah@gmail.com
  • 111. En plus des différents types listés dans le tableau précédent, le langage VHDL propose trois types très utiles dont voici la définition. Type énumération Ces types sont utilisés lorsque l'on souhaite créer un nouveau type de signal ou lorsque l'on souhaite créer un signal ou une variable dont les valeurs possibles sont explicites. Exemple: TYPE Signal_instruction IS (LDAA, ADDA, BNE, .... , BSR, RTS) ; SIGNAL Instruction : Signal_instruction ; Dans cet exemple, la première ligne correspond à la définition d'un type de nom Signal_instruction et la deuxième à la création d'un signal nommé Instruction et de type Signal_instruction. Type tableau Le langage VHDL autorise la définition de tableau de valeurs de type quelconque. L'instruction suivante correspond à la définition d'un type nommé Tableau_d'entiers : TYPE Tableau_d'entiers IS ARRAY (7 downto 0) OF integer ; L'instruction suivante va créer un signal de nom Tableau et de type Tableau_d'entiers : SIGNAL Tableau : Tableau_d'entiers ; Le signal Tableau comportera donc 8 éléments de type entier que l'on pourra manipuler individuellement ou tous en même temps. Pour créer un tableau à plusieurs lignes, la syntaxe suivante est possible : TYPE Tableau_8xbit IS ARRAY (7 downto 0) OF bit ; TYPE Tableau_4x8xbit IS ARRAY (3 downto 0) OF Tableau_8xbit ; ou encore : TYPE Tableau_4x8xbit IS ARRAY (3 downto 0, 7 downto 0) OF bit ; Copyright bousmah@gmail.com
  • 112. Annexe 1: Syntaxe détaillée VHDL Type enregistrement Il est possible, en VHDL comme dans la plupart des langages évolués, de créer un type Constitué de plusieurs éléments différents. Les éléments ainsi juxtaposés pourront, par la suite, être accessibles grâce à l'utilisation du "." comme pour les adresses électroniques. Copyright bousmah@gmail.com
  • 113. Annexe 1: Syntaxe détaillée VHDL • Définition de types et surcharge des opérateurs. Les types prédéfinis proposés par le langage VHDL initial sont vite devenus insuffisants dans la plupart des cas. C'est dans cette optique que les types std_logic et std_ulogic conformes au standard IEEE 1164 ont été créés. Ces types proposent les valeurs suivantes : 'U' = Non initialisé, ’X ' = inconnu forçage fort, ’0’ = forçage faible, ’1’ = forçage fort, ’Z ' = haute impédance, ’W’ = inconnu forçage faible, ’L’ = forçage faible, ’H’ = forçage fort, et ’-’ = quelconque. Copyright bousmah@gmail.com
  • 114. Annexe 1: Syntaxe détaillée VHDL Les attributs Le langage VHDL propose un outil appelé attribut que l'on peut, en quelque sorte, assimiler à des fonctions. Placé auprès d'un signal, l'attribut " 'event " va, par exemple, retourner une information vraie ou fausse (donc de type booléen) sur le fait que le signal en question ait subi ou non un événement (un changement de valeur). Le fonctionnement de cet attribut ressemble au comportement d'une fonction dont le paramètre de retour serait de type booléen, à ceci près que l'attribut 'event en question possède une notion de mémoire. En effet il détecte un changement d'état qui nécessite d'avoir au préalable mémorisé l'état précédent. Il existe en réalité deux sortes d'attributs : • Les attributs destinés à retourner des informations concernant le comportement d'un signal (événements, dernière valeur...). • Les attributs destinés à retourner des informations concernant les caractéristiques d'un vecteur (longueur, dimension...). Pour ces deux sortes d'attributs, on parle d'attributs prédéfinis, au même titre que l'on parle de types prédéfinis (bit, boolean, etc.). Voici une liste non exhaustive des différents attributs prédéfinis proposés par le langage VHDL. Copyright bousmah@gmail.com
  • 115. Annexe 1: Syntaxe détaillée VHDL Attributs Définitions - informations de retour 'active Vrai ou faux si le signal auquel il est associé vient d'être affecté. 'last_value Retourne la valeur précédente du signal auquel il est associé. 'last_event ou 'last-active Retournent des informations de temps concernant la date d'affectation ou de transition des signaux auxquels ils sont affectés 'stable(T) Vrai ou faux si le signal auquel il est associé n'est pas modifié pendant la durée T. 'quiet Vrai ou faux si le signal auquel il est associé n'est pas affecté pendant la durée T. 'transaction Retourne une information de type bit qui change d'état lorsque le signal auquel il est associé est affecté. 'high Placé près d'un nom de tableau, il retourne la valeur du rang le plus haut (la valeur de retour est de type entier). 'low Placé près d'un nom de tableau, il retourne la valeur du rang le plus bas (la valeur de retour est de type entier). 'left Placé près d'un nom de vecteur ou tableau, il retourne la valeur du rang le plus à gauche (la valeur de retour est de type entier). 'right Placé près d'un nom de vecteur ou tableau, il retourne la valeur du rang le plus à droite (la valeur de retour est de type entier). Copyright bousmah@gmail.com
  • 116. Annexe 1: Syntaxe détaillée VHDL 'range Placé près d'un signal, il retourne la valeur de l'intervalle spécifié par l'instruction range lors de la déclaration du signal ou du type utilisé. 'reverse_range. Placé près d'un signal, il retourne la valeur de l'intervalle inverse spécifié par l'instruction range lors de la déclaration du signal ou du type utilisé 'length Retourne X'high - X'low + 1 (sous la forme d'un entier). 'event Vrai ou faux si le signal auquel il est associé vient de subir un changement de valeur. Copyright bousmah@gmail.com
  • 117. Annexe 1: Syntaxe détaillée VHDL Synthèse d'une description VHDL • Fonctions combinatoires: Une fonction combinatoire est une structure pour laquelle chaque combinaison d'entrée fait correspondre en sortie une combinaison déterminée et indépendante des combinaisons d'entrées et de sorties précédentes. On peut donc décrire ces structures à l'aide d'équations booléennes qui seront toujours vérifiées comme le sont les instructions concurrentes. En effet, l'instruction "S <= A and B or C" est toujours vérifiée et correspond à l'équation booléenne S =A.B + C. Mais il est aussi possible de décrire une structure combinatoire en utilisant les instructions séquentielles d'un process. L'équation précédente peut, par exemple, s'écrire : process (A, B, C) begin if (C = '1') then S <= '1'; elsif (A = '1' and B = '1') then S <= '1'; else S <= '0'; end if; end process; L'issue de synthèse de ces deux types de description est identique. Toutefois,on peut être amené à se poser la question de savoir quel type de description est à utiliser. Copyright bousmah@gmail.com
  • 118. Annexe 1: Syntaxe détaillée VHDL En réalité, même si le résultat de la synthèse est identique, il y a une différence fondamentale entre ces deux descriptions. L'une n'est que le reflet des équations logiques de la structure Combinatoire à décrire, alors que l'autre se base sur l'expression de son comportement. Pour tenter de résumer les différences existant entre ces deux méthodes de description des fonctions combinatoires, on peut lister les avantages et les inconvénients de chacune d'elles. • Description de fonctions combinatoires à l'aide d'instructions concurrentes : Avantages : – la description obtenue est lisible et simple, – la description obtenue reflète bien la réalité. Inconvénients : – décrire une fonction combinatoire en utilisant des instructions concurrentes sous-entend que la taille de sa table de vérité le permet ou que les simplifications de cette dernière ont été faites. • Description de fonctions combinatoires à l'aide de process : Avantages : – pour des fonctions compliquées dans lesquelles les équations possèdent de nombreux termes, cette méthode est parfois plus simple. Lorsque la description comportementale est plus simple que la description algorithmique, cette méthode est avantageuse ; – il n'est pas nécessaire de faire les simplifications de la table de vérité, elles sont faites automatiquement par le synthétiseur lors de la synthèse. Inconvénients : – dans certains cas, cette écriture est peu lisible et par conséquent très difficilement modifiable par une personne extérieure. Il n'y a donc pas de règle générale mais plutôt la nécessité de procéder à une démarche logique d'observation avant la description pour choisir la méthode de description adéquate. Copyright bousmah@gmail.com
  • 119. Annexe 1: Syntaxe détaillée VHDL • Fonctions séquentielles: La synthèse d'une fonction logique séquentielle est beaucoup plus complexe que celle d'une fonction combinatoire. Les possibilités de description offertes par le langage VHDL Sont vastes et bien souvent irréalisables dans l'état actuel des technologies. Prenons l'exemple des attributs, ils sont puissants, efficaces, mais posent, pour certains, de gros problèmes aux outils de synthèse qui ne savent pas comment réaliser des structures capables de représenter le fonctionnement de ces attributs. L'attribut 'quiet(T), qui retourne une information de type booléen qui est vraie lorsque le signal auquel il est associé n'a pas été affecté depuis le temps T, ne représente aucune structure électronique simple. Les synthétiseurs actuels refusent donc cet attribut faute de savoir quoi en faire. Par contre, il existe des attributs qui sont très utilisés et qui représentent même un véritable standard. La description d'un front est très simple à réaliser si l'on utilise l'attribut 'event associé à une condition de niveau pour la détection d'un front montant ou descendant. Copyright bousmah@gmail.com
  • 121. Merci de votre attention Copyright bousmah@gmail.com