SlideShare ist ein Scribd-Unternehmen logo
1 von 19
Downloaden Sie, um offline zu lesen
file://Zeus/class$/ee466/public_html/tutorial/layout.html



                   CADENCE LAYOUT
                      TUTORIAL
Creating Layout of an inverter from a Schematic:
Open the existing Schematic




                                                   Page 1
file://Zeus/class$/ee466/public_html/tutorial/layout.html




From the schematic editor window
Tools >Design Synthesis >Layout XL

A window for startup Options comes up



                                                  Page 2
file://Zeus/class$/ee466/public_html/tutorial/layout.html




Select the button corresponding to the Create New text as shown

A Create New File window comes up. The Cell Name corresponds to the schematic name, leave it that
way.
The field corresponding to the View Name label should read Layout.
Click OK and see the layout window come up.




                                                    Page 3
file://Zeus/class$/ee466/public_html/tutorial/layout.html




                         Page 4
file://Zeus/class$/ee466/public_html/tutorial/layout.html




From the layout window menu select:
Create >pick from Schematic and the window below comes up




Highlight/Select the entire circuit from the schematic window and move the mouse onto the layout
window. The layout components of your circuit show on the layout window. Place them with a click of
the mouse. If the layers do not show; simultaneously press the SHIFT key and the letter F and the layers
will show. Now connect the Poly layers using the drawing tool. This is achieved by selecting from the
LSW window the P0 drawing layer and drawing a rectangle that joins the nMOS and pMOS gates (red
layer on each transistor}.

Connect the drains using the M1 drawing layer selected from the LSW window. Draw the ground and
vdd nets. They will be of 0.36microns wide. See the picture below for dimensions. Use the hot key "i" to
insert the NTAP_J instance on the vdd net, making sure the contact is directly on the net. Insert the
PTAP_J instance on the gnd net.

VERY IMPORTANT
                                                    Page 5
file://Zeus/class$/ee466/public_html/tutorial/layout.html
VERY IMPORTANT
Labels must use the pin layers instead of the text. See diagram below. To view the properties and
connectivity of the pin: select the pin and click the middle mouse button to select properties. The same
should be done with the text box.




Make sure the pin and the text are of the corresponding layer e.g if the pin is a P0 pin make sure it is
placed on P0 layer and the text must be of P0 material.




                                                     Page 6
file://Zeus/class$/ee466/public_html/tutorial/layout.html




                         Page 7
file://Zeus/class$/ee466/public_html/tutorial/layout.html




                         Page 8
file://Zeus/class$/ee466/public_html/tutorial/layout.html




The layout is now complete and needs to be checked for design rule violations. On the layout window
click on the Calibre menu item:
Caliber >Run DRC




                                                   Page 9
file://Zeus/class$/ee466/public_html/tutorial/layout.html




We will use the default selection. Click OK on the window above.




                                                  Page 10
file://Zeus/class$/ee466/public_html/tutorial/layout.html




Click on Run DRC and two more windows will show up. The one that shows first does not contain
information of interest. The second one is key.

The window below shows results of a layout that has an error. Watch the comments at the bottom of the
window, they give the specifics on what the error is.




                                                  Page 11
file://Zeus/class$/ee466/public_html/tutorial/layout.html




                                                                                                           a
Create Library form appears, fill it as

Right Click on top of the lettering highlighted in blue on the window above and watch the layout window
closely. The area that has the error gets highlighted. Sometimes the color used to highlight is the same as
the color of the material making it difficult to see where the error is. If you click on the numbers 01 or 02,
you will see on the right hand column of this window the coordinates and you can thus click on these
coordinates and watch the response on the layout window.

Correct the error and run DRC again to see if an more violations exist. The DRC Window below shows
results of an error free layout, one in which no design rules have been violated.




                                                     Page 12
file://Zeus/class$/ee466/public_html/tutorial/layout.html




Once we have succeeded with DRC we need to compare the layout vs the schematic using LVS. Click
on the Caliber menu item:
Caliber >Run LVS

The window below shows a failed LVS. Clicking on the lettering highlighted in blue shows what the
problem is.




                                                  Page 13
file://Zeus/class$/ee466/public_html/tutorial/layout.html




Fix the errors and re-run LVS, Note the green faces indicating success.




                                                   Page 14
file://Zeus/class$/ee466/public_html/tutorial/layout.html




Now that DRC and LVS have passed close these windows and on the Layout window click on the Tools
menu item:
Tools >Post-Layout Simulation >Schematic With Skipped Cells.

The Window below comes up.
                                                 Page 15
file://Zeus/class$/ee466/public_html/tutorial/layout.html
The Window below comes up.




                                               Page 16
file://Zeus/class$/ee466/public_html/tutorial/layout.html




                        Page 17
file://Zeus/class$/ee466/public_html/tutorial/layout.html




Select the Extraction Button and then Click on Run PLS

Watch the icfb window. If the extraction is completed successfully you will see the text in the figure
below otherwise a message that reads: "pls:PLS Failed" will be displayed and you will have to determine
the problem and fix it. If your design had not passed LVS you will get a Warning Message that states that
the Schematic and the Layout are not compatible. You can proceed with the subsequent steps even
though LVS failed.




Now you have extracted schematic and layout views of your layout with all the parasitics. The library
manager quits automatically at this point (should not happen but ....). Close the schematic and layout
editing windows.

Open the library manager and select your library.




                                                    Page 18
file://Zeus/class$/ee466/public_html/tutorial/layout.html




Notice the additional files that have been created (PLSextracted and PLSsch_RCMAX_RCc), open the
PLSsch_RCMAX_RCc fil). It contains the schematic of your transistors as extracted from the layout with
all the paracitics (capacitances and resistances). From this schematic window select the Tools menu item
as shown in the figure below:
Tools >Analog Environment




                                                   Page 19

Weitere ähnliche Inhalte

Andere mochten auch

Design of two stage OPAMP
Design of two stage OPAMPDesign of two stage OPAMP
Design of two stage OPAMPVishal Pathak
 
Operational Amplifier Design
Operational Amplifier DesignOperational Amplifier Design
Operational Amplifier DesignBharat Biyani
 
Single Stage Differential Folded Cascode Amplifier
Single Stage Differential Folded Cascode AmplifierSingle Stage Differential Folded Cascode Amplifier
Single Stage Differential Folded Cascode AmplifierAalay Kapadia
 
Two stage op amp design on cadence
Two stage op amp design on cadenceTwo stage op amp design on cadence
Two stage op amp design on cadenceHaowei Jiang
 
Two stage folded cascode op amp design in Cadence
Two stage folded cascode op amp design in CadenceTwo stage folded cascode op amp design in Cadence
Two stage folded cascode op amp design in CadenceKarthik Rathinavel
 
Design and implementation of cmos rail to-rail operational amplifiers
Design and implementation of cmos rail to-rail operational amplifiersDesign and implementation of cmos rail to-rail operational amplifiers
Design and implementation of cmos rail to-rail operational amplifiersGrace Abraham
 
Gain improvement of two stage opamp through body bias in 45nm cmos technology
Gain improvement of two stage opamp through body bias in 45nm cmos technologyGain improvement of two stage opamp through body bias in 45nm cmos technology
Gain improvement of two stage opamp through body bias in 45nm cmos technologyeSAT Publishing House
 

Andere mochten auch (7)

Design of two stage OPAMP
Design of two stage OPAMPDesign of two stage OPAMP
Design of two stage OPAMP
 
Operational Amplifier Design
Operational Amplifier DesignOperational Amplifier Design
Operational Amplifier Design
 
Single Stage Differential Folded Cascode Amplifier
Single Stage Differential Folded Cascode AmplifierSingle Stage Differential Folded Cascode Amplifier
Single Stage Differential Folded Cascode Amplifier
 
Two stage op amp design on cadence
Two stage op amp design on cadenceTwo stage op amp design on cadence
Two stage op amp design on cadence
 
Two stage folded cascode op amp design in Cadence
Two stage folded cascode op amp design in CadenceTwo stage folded cascode op amp design in Cadence
Two stage folded cascode op amp design in Cadence
 
Design and implementation of cmos rail to-rail operational amplifiers
Design and implementation of cmos rail to-rail operational amplifiersDesign and implementation of cmos rail to-rail operational amplifiers
Design and implementation of cmos rail to-rail operational amplifiers
 
Gain improvement of two stage opamp through body bias in 45nm cmos technology
Gain improvement of two stage opamp through body bias in 45nm cmos technologyGain improvement of two stage opamp through body bias in 45nm cmos technology
Gain improvement of two stage opamp through body bias in 45nm cmos technology
 

Ähnlich wie Cadence layout Tutorial

InstallationGuide.pdf
InstallationGuide.pdfInstallationGuide.pdf
InstallationGuide.pdfsahirzakaria
 
Creating a dot netnuke
Creating a dot netnukeCreating a dot netnuke
Creating a dot netnukeNguyễn Anh
 
DevHelper Installation and User Documentation
DevHelper Installation and User DocumentationDevHelper Installation and User Documentation
DevHelper Installation and User DocumentationPatrick O'Conor
 
Getting started with code composer studio v3.3 for tms320 f2812
Getting started with code composer studio v3.3 for tms320 f2812Getting started with code composer studio v3.3 for tms320 f2812
Getting started with code composer studio v3.3 for tms320 f2812Pantech ProLabs India Pvt Ltd
 
codeblocks-instructions.pdf
codeblocks-instructions.pdfcodeblocks-instructions.pdf
codeblocks-instructions.pdfRavinderKSingla
 
install k+dcan cable standard tools 2.12 on windows 10 64bit
install k+dcan cable standard tools 2.12 on windows 10 64bitinstall k+dcan cable standard tools 2.12 on windows 10 64bit
install k+dcan cable standard tools 2.12 on windows 10 64bitWORLD OBD2
 
First steps with Scilab
First steps with ScilabFirst steps with Scilab
First steps with ScilabScilab
 
Get ntdll fixed
Get ntdll fixedGet ntdll fixed
Get ntdll fixedalisana
 
PCB Design - Printed Circuit Board - VLSI Designing
PCB Design - Printed Circuit Board - VLSI DesigningPCB Design - Printed Circuit Board - VLSI Designing
PCB Design - Printed Circuit Board - VLSI DesigningE2MATRIX
 
Consuming and Publishing Ordnance Survey Open Data with Open Source Software
Consuming and Publishing Ordnance Survey Open Data with Open Source SoftwareConsuming and Publishing Ordnance Survey Open Data with Open Source Software
Consuming and Publishing Ordnance Survey Open Data with Open Source SoftwareJoanne Cook
 
How to work with code blocks
How to work with code blocksHow to work with code blocks
How to work with code blocksTech Bikram
 
Advance Computer Architecture
Advance Computer ArchitectureAdvance Computer Architecture
Advance Computer ArchitectureVrushali Lanjewar
 
How to install Java and how to set the path
How to install Java and how to set the pathHow to install Java and how to set the path
How to install Java and how to set the pathyesheeka
 
Installing 12c R1 database on oracle linux
Installing 12c R1 database on oracle linuxInstalling 12c R1 database on oracle linux
Installing 12c R1 database on oracle linuxAnar Godjaev
 
Configuration of the Warnings Next Generation plugin for integration with PVS...
Configuration of the Warnings Next Generation plugin for integration with PVS...Configuration of the Warnings Next Generation plugin for integration with PVS...
Configuration of the Warnings Next Generation plugin for integration with PVS...Andrey Karpov
 

Ähnlich wie Cadence layout Tutorial (20)

InstallationGuide.pdf
InstallationGuide.pdfInstallationGuide.pdf
InstallationGuide.pdf
 
Creating a dot netnuke
Creating a dot netnukeCreating a dot netnuke
Creating a dot netnuke
 
Wdlxtut
WdlxtutWdlxtut
Wdlxtut
 
Hacks
HacksHacks
Hacks
 
DevHelper Installation and User Documentation
DevHelper Installation and User DocumentationDevHelper Installation and User Documentation
DevHelper Installation and User Documentation
 
Getting started with code composer studio v3.3 for tms320 f2812
Getting started with code composer studio v3.3 for tms320 f2812Getting started with code composer studio v3.3 for tms320 f2812
Getting started with code composer studio v3.3 for tms320 f2812
 
codeblocks-instructions.pdf
codeblocks-instructions.pdfcodeblocks-instructions.pdf
codeblocks-instructions.pdf
 
install k+dcan cable standard tools 2.12 on windows 10 64bit
install k+dcan cable standard tools 2.12 on windows 10 64bitinstall k+dcan cable standard tools 2.12 on windows 10 64bit
install k+dcan cable standard tools 2.12 on windows 10 64bit
 
First steps with Scilab
First steps with ScilabFirst steps with Scilab
First steps with Scilab
 
Dsplab v1
Dsplab v1Dsplab v1
Dsplab v1
 
Get ntdll fixed
Get ntdll fixedGet ntdll fixed
Get ntdll fixed
 
PCB Design - Printed Circuit Board - VLSI Designing
PCB Design - Printed Circuit Board - VLSI DesigningPCB Design - Printed Circuit Board - VLSI Designing
PCB Design - Printed Circuit Board - VLSI Designing
 
Ankit Phadia Hacking tools
Ankit Phadia Hacking toolsAnkit Phadia Hacking tools
Ankit Phadia Hacking tools
 
Consuming and Publishing Ordnance Survey Open Data with Open Source Software
Consuming and Publishing Ordnance Survey Open Data with Open Source SoftwareConsuming and Publishing Ordnance Survey Open Data with Open Source Software
Consuming and Publishing Ordnance Survey Open Data with Open Source Software
 
How to work with code blocks
How to work with code blocksHow to work with code blocks
How to work with code blocks
 
Vb%20 tutorial
Vb%20 tutorialVb%20 tutorial
Vb%20 tutorial
 
Advance Computer Architecture
Advance Computer ArchitectureAdvance Computer Architecture
Advance Computer Architecture
 
How to install Java and how to set the path
How to install Java and how to set the pathHow to install Java and how to set the path
How to install Java and how to set the path
 
Installing 12c R1 database on oracle linux
Installing 12c R1 database on oracle linuxInstalling 12c R1 database on oracle linux
Installing 12c R1 database on oracle linux
 
Configuration of the Warnings Next Generation plugin for integration with PVS...
Configuration of the Warnings Next Generation plugin for integration with PVS...Configuration of the Warnings Next Generation plugin for integration with PVS...
Configuration of the Warnings Next Generation plugin for integration with PVS...
 

Kürzlich hochgeladen

SOCIAL AND HISTORICAL CONTEXT - LFTVD.pptx
SOCIAL AND HISTORICAL CONTEXT - LFTVD.pptxSOCIAL AND HISTORICAL CONTEXT - LFTVD.pptx
SOCIAL AND HISTORICAL CONTEXT - LFTVD.pptxiammrhaywood
 
APM Welcome, APM North West Network Conference, Synergies Across Sectors
APM Welcome, APM North West Network Conference, Synergies Across SectorsAPM Welcome, APM North West Network Conference, Synergies Across Sectors
APM Welcome, APM North West Network Conference, Synergies Across SectorsAssociation for Project Management
 
Introduction to ArtificiaI Intelligence in Higher Education
Introduction to ArtificiaI Intelligence in Higher EducationIntroduction to ArtificiaI Intelligence in Higher Education
Introduction to ArtificiaI Intelligence in Higher Educationpboyjonauth
 
Presiding Officer Training module 2024 lok sabha elections
Presiding Officer Training module 2024 lok sabha electionsPresiding Officer Training module 2024 lok sabha elections
Presiding Officer Training module 2024 lok sabha electionsanshu789521
 
Grant Readiness 101 TechSoup and Remy Consulting
Grant Readiness 101 TechSoup and Remy ConsultingGrant Readiness 101 TechSoup and Remy Consulting
Grant Readiness 101 TechSoup and Remy ConsultingTechSoup
 
Paris 2024 Olympic Geographies - an activity
Paris 2024 Olympic Geographies - an activityParis 2024 Olympic Geographies - an activity
Paris 2024 Olympic Geographies - an activityGeoBlogs
 
Sanyam Choudhary Chemistry practical.pdf
Sanyam Choudhary Chemistry practical.pdfSanyam Choudhary Chemistry practical.pdf
Sanyam Choudhary Chemistry practical.pdfsanyamsingh5019
 
“Oh GOSH! Reflecting on Hackteria's Collaborative Practices in a Global Do-It...
“Oh GOSH! Reflecting on Hackteria's Collaborative Practices in a Global Do-It...“Oh GOSH! Reflecting on Hackteria's Collaborative Practices in a Global Do-It...
“Oh GOSH! Reflecting on Hackteria's Collaborative Practices in a Global Do-It...Marc Dusseiller Dusjagr
 
Mastering the Unannounced Regulatory Inspection
Mastering the Unannounced Regulatory InspectionMastering the Unannounced Regulatory Inspection
Mastering the Unannounced Regulatory InspectionSafetyChain Software
 
Organic Name Reactions for the students and aspirants of Chemistry12th.pptx
Organic Name Reactions  for the students and aspirants of Chemistry12th.pptxOrganic Name Reactions  for the students and aspirants of Chemistry12th.pptx
Organic Name Reactions for the students and aspirants of Chemistry12th.pptxVS Mahajan Coaching Centre
 
PSYCHIATRIC History collection FORMAT.pptx
PSYCHIATRIC   History collection FORMAT.pptxPSYCHIATRIC   History collection FORMAT.pptx
PSYCHIATRIC History collection FORMAT.pptxPoojaSen20
 
Introduction to AI in Higher Education_draft.pptx
Introduction to AI in Higher Education_draft.pptxIntroduction to AI in Higher Education_draft.pptx
Introduction to AI in Higher Education_draft.pptxpboyjonauth
 
Science 7 - LAND and SEA BREEZE and its Characteristics
Science 7 - LAND and SEA BREEZE and its CharacteristicsScience 7 - LAND and SEA BREEZE and its Characteristics
Science 7 - LAND and SEA BREEZE and its CharacteristicsKarinaGenton
 
18-04-UA_REPORT_MEDIALITERAСY_INDEX-DM_23-1-final-eng.pdf
18-04-UA_REPORT_MEDIALITERAСY_INDEX-DM_23-1-final-eng.pdf18-04-UA_REPORT_MEDIALITERAСY_INDEX-DM_23-1-final-eng.pdf
18-04-UA_REPORT_MEDIALITERAСY_INDEX-DM_23-1-final-eng.pdfssuser54595a
 
Q4-W6-Restating Informational Text Grade 3
Q4-W6-Restating Informational Text Grade 3Q4-W6-Restating Informational Text Grade 3
Q4-W6-Restating Informational Text Grade 3JemimahLaneBuaron
 
microwave assisted reaction. General introduction
microwave assisted reaction. General introductionmicrowave assisted reaction. General introduction
microwave assisted reaction. General introductionMaksud Ahmed
 
Accessible design: Minimum effort, maximum impact
Accessible design: Minimum effort, maximum impactAccessible design: Minimum effort, maximum impact
Accessible design: Minimum effort, maximum impactdawncurless
 
CARE OF CHILD IN INCUBATOR..........pptx
CARE OF CHILD IN INCUBATOR..........pptxCARE OF CHILD IN INCUBATOR..........pptx
CARE OF CHILD IN INCUBATOR..........pptxGaneshChakor2
 
How to Make a Pirate ship Primary Education.pptx
How to Make a Pirate ship Primary Education.pptxHow to Make a Pirate ship Primary Education.pptx
How to Make a Pirate ship Primary Education.pptxmanuelaromero2013
 

Kürzlich hochgeladen (20)

SOCIAL AND HISTORICAL CONTEXT - LFTVD.pptx
SOCIAL AND HISTORICAL CONTEXT - LFTVD.pptxSOCIAL AND HISTORICAL CONTEXT - LFTVD.pptx
SOCIAL AND HISTORICAL CONTEXT - LFTVD.pptx
 
APM Welcome, APM North West Network Conference, Synergies Across Sectors
APM Welcome, APM North West Network Conference, Synergies Across SectorsAPM Welcome, APM North West Network Conference, Synergies Across Sectors
APM Welcome, APM North West Network Conference, Synergies Across Sectors
 
Introduction to ArtificiaI Intelligence in Higher Education
Introduction to ArtificiaI Intelligence in Higher EducationIntroduction to ArtificiaI Intelligence in Higher Education
Introduction to ArtificiaI Intelligence in Higher Education
 
Código Creativo y Arte de Software | Unidad 1
Código Creativo y Arte de Software | Unidad 1Código Creativo y Arte de Software | Unidad 1
Código Creativo y Arte de Software | Unidad 1
 
Presiding Officer Training module 2024 lok sabha elections
Presiding Officer Training module 2024 lok sabha electionsPresiding Officer Training module 2024 lok sabha elections
Presiding Officer Training module 2024 lok sabha elections
 
Grant Readiness 101 TechSoup and Remy Consulting
Grant Readiness 101 TechSoup and Remy ConsultingGrant Readiness 101 TechSoup and Remy Consulting
Grant Readiness 101 TechSoup and Remy Consulting
 
Paris 2024 Olympic Geographies - an activity
Paris 2024 Olympic Geographies - an activityParis 2024 Olympic Geographies - an activity
Paris 2024 Olympic Geographies - an activity
 
Sanyam Choudhary Chemistry practical.pdf
Sanyam Choudhary Chemistry practical.pdfSanyam Choudhary Chemistry practical.pdf
Sanyam Choudhary Chemistry practical.pdf
 
“Oh GOSH! Reflecting on Hackteria's Collaborative Practices in a Global Do-It...
“Oh GOSH! Reflecting on Hackteria's Collaborative Practices in a Global Do-It...“Oh GOSH! Reflecting on Hackteria's Collaborative Practices in a Global Do-It...
“Oh GOSH! Reflecting on Hackteria's Collaborative Practices in a Global Do-It...
 
Mastering the Unannounced Regulatory Inspection
Mastering the Unannounced Regulatory InspectionMastering the Unannounced Regulatory Inspection
Mastering the Unannounced Regulatory Inspection
 
Organic Name Reactions for the students and aspirants of Chemistry12th.pptx
Organic Name Reactions  for the students and aspirants of Chemistry12th.pptxOrganic Name Reactions  for the students and aspirants of Chemistry12th.pptx
Organic Name Reactions for the students and aspirants of Chemistry12th.pptx
 
PSYCHIATRIC History collection FORMAT.pptx
PSYCHIATRIC   History collection FORMAT.pptxPSYCHIATRIC   History collection FORMAT.pptx
PSYCHIATRIC History collection FORMAT.pptx
 
Introduction to AI in Higher Education_draft.pptx
Introduction to AI in Higher Education_draft.pptxIntroduction to AI in Higher Education_draft.pptx
Introduction to AI in Higher Education_draft.pptx
 
Science 7 - LAND and SEA BREEZE and its Characteristics
Science 7 - LAND and SEA BREEZE and its CharacteristicsScience 7 - LAND and SEA BREEZE and its Characteristics
Science 7 - LAND and SEA BREEZE and its Characteristics
 
18-04-UA_REPORT_MEDIALITERAСY_INDEX-DM_23-1-final-eng.pdf
18-04-UA_REPORT_MEDIALITERAСY_INDEX-DM_23-1-final-eng.pdf18-04-UA_REPORT_MEDIALITERAСY_INDEX-DM_23-1-final-eng.pdf
18-04-UA_REPORT_MEDIALITERAСY_INDEX-DM_23-1-final-eng.pdf
 
Q4-W6-Restating Informational Text Grade 3
Q4-W6-Restating Informational Text Grade 3Q4-W6-Restating Informational Text Grade 3
Q4-W6-Restating Informational Text Grade 3
 
microwave assisted reaction. General introduction
microwave assisted reaction. General introductionmicrowave assisted reaction. General introduction
microwave assisted reaction. General introduction
 
Accessible design: Minimum effort, maximum impact
Accessible design: Minimum effort, maximum impactAccessible design: Minimum effort, maximum impact
Accessible design: Minimum effort, maximum impact
 
CARE OF CHILD IN INCUBATOR..........pptx
CARE OF CHILD IN INCUBATOR..........pptxCARE OF CHILD IN INCUBATOR..........pptx
CARE OF CHILD IN INCUBATOR..........pptx
 
How to Make a Pirate ship Primary Education.pptx
How to Make a Pirate ship Primary Education.pptxHow to Make a Pirate ship Primary Education.pptx
How to Make a Pirate ship Primary Education.pptx
 

Cadence layout Tutorial