SlideShare ist ein Scribd-Unternehmen logo
1 von 7
Downloaden Sie, um offline zu lesen
International Journal of Engineering Research and Development
e-ISSN: 2278-067X, p-ISSN: 2278-800X, www.ijerd.com
Volume 8, Issue 8 (September 2013), PP. 26-32
www.ijerd.com 26 | Page
Design and Implementation of High Speed Low Power
Viterbi Decoder
BaburaoKodavati1
, Subhrajeeth Pradhan2
, G.Naveen Kumar3
, Srikanth patnaiak4
1, 3, 4
Asst.Prof,Giet,Gunupur,Rayagada,Odisha-765022.
2
Hod Of Ece Department,Giet, Gunupur, Rayagada, Odisha-765022.
Abstract:- Convolution encoding with Viterbi decoding is a powerful method for error checking. It has been
widely deployed in many wireless communication systems to improve the limited capacity of the
communication channels. The Viterbi algorithm, which is the most extensively employed decoding algorithm
for convolution codes. In this paper, we present an implementation of Viterbi Decoder for code rate of ½ and for
constraint length of 9 which is employed in present technologies. The Viterbi algorithm is commonly used in a
wide range of communications and data storage applications.Thispaper discusses about architecture for a Viterbi
Decoder using VLSI design techniques at circuit level. The Viterbi decoder comprises of BMU, PMU and a
SMU. Communication within the decoder blocks is controlled by the Request-Acknowledge handshake pair
which signals that data is ready for process. The design of various units of Viterbi Decoder is done by
VERILOG HDL language. The simulation results show the decoder output of the encoded bits which are
nothing but the bits which we are applied to conventional encoder.
I. INTRODUCTION
Convolution coding has been used in communication systems including deep space
communications and wireless communications. It offers an alternative to block codes for transmission over a
noisy channel. An advantage of convolution coding is that it can be applied to a continuous data stream as well
as to blocks of data. IS-95, a wireless digital cellular standard for CDMA (code division multiple access),
employs convolution coding. A third generation wireless cellular standard, under preparation, plans to
adopt turbo coding, which stems from convolution coding. The Viterbi decoding algorithm, proposed in 1967
by Viterbi, is a decoding process for convolution codes in memory-less noise [52]. The algorithm can be
applied to a host of problems encountered in the design of communication systems [52]. The Viterbi
decoding algorithm provides both a maximum-likelihood and a maximum a posterior algorithm. A
maximum a posterior algorithm identifies a code word that maximizes the conditional probability of the
decoded code word against the received code word, in contrast a maximum likelihood algorithm identifies a
code word that maximizes the conditional probability of the received code word against the decoded code
word. The two algorithms give the same results when the source information has a uniform distribution.
Traditionally, performance and silicon area are the two most important concerns in VLSI design.
Recently, power dissipation has also become an important concern, especially in battery- powered applications,
such as cellular phones, pagers and laptop computers. Power dissipation can be classified into two categories,
static power dissipation and dynamic power dissipation Typically, static power dissipation is due to
various leakage currents, while dynamic power dissipation is a result of charging and discharging the
parasitic capacitance of transistors andwires. Since the dynamic power dissipation accounts for about 80 to 90
percent of overall power dissipation in CMOS circuits; numerous techniques have been proposed to
reduce dynamic power dissipation. These techniques can be applied at different levels of digital design, such as
the algorithmic level, the architectural level, the gate level and, the circuit level.
A viterbi decoder uses the Viterbi algorithm for decoding a bit stream that has been encoded using
Forward error correction based on a Convolution code. The Viterbi algorithm is commonly used in a wide range
of communications and data storage applications. It is used for decoding convolution codes, in baseband
detection for wireless systems, and also for detection of recorded data in magnetic disk drives. The requirements
for the Viterbi decoder or Viterbi detector, which is a processor that implements the Viterbi algorithm, depend
on the applications where they are used. This results in very wide range of required data throughputs and power
or area requirements.
Viterbi detectors are used in cellular telephones with low data rates, of the order below 1Mb/s but with
very low energy dissipation requirement. They are used for trellis code demodulation in telephone line modems,
where the throughput is in the range of tens of kb/s, with restrictive limits in power dissipation and the area/cost
of the chip. On the opposite end, very high speed Viterbi detectors are used in magnetic disk drive read
channels, with throughputs over 600Mb/s. But at these high speeds, area and power are still limited.
Design and Implementation of High Speed Low Power Viterbi Decoder
www.ijerd.com 27 | Page
Convolutional coding has been used in communication systems including deep space communications
and wireless communications. It offers an alternative to block codes for transmission over a noisy channel. An
advantage of convolutional coding is that it can be applied to a continuous data stream as well as to blocks of
data. IS-95, a wireless digital cellular standard for CDMA (code division multiple access), employs
convolutional coding.
II. ARCHITECTURE OF VITERBI DECODER
FIG-2.1 Architecture of the VITERBI DECODER
In the viterbi decoding approach the trace-back (TB) and the register-exchange (RE) methods are the
two major techniques used for the path history management in the chip designs of Viterbi decoders. The TB
method takes up less area but requires much more time as compared to RE method because it needs to search or
trace the survivor path back sequentially. But the major disadvantage of the RE approach is that its routing cost
is very high especially in the case of long-constraint lengths and it requires much more resources.
2.2 Branch Metric Computation (BMC)
For each state, the Hamming distance between the received bits and the expected bits is calculated.
Hamming distance between two symbols of the same length is calculated as the number of bits that are different
between them. These branch metric values are passed to Block 2. If soft decision inputs were to be used, branch
metric would be calculated as the squared Euclidean distance between the received symbols [21]. The squared
Euclidean distance is given as (a1-b1)2
+ (a2-b2)2
+ (a3-b3)2
where a1, a2, a3 and b1, b2, b3 are the three soft
decision bits of the received and expected bits respectively.
value Meaning
000 strongest 0
001 relatively strong 0
010 relatively weak 0
011 weakest 0
100 weakest 1
101 relatively weak 1
110 relatively strong 1
111 strongest 1
FIG-2.2 A sample implementation of a branch metric unit
2.3 Path Metric Computation and Add-Compare-Select (ACS) Unit
The path metric or error probability for each transition state at a particular time instant is measured as
the sum of the path metric for its preceding state and the branch metric between the previous state and the
present state. The initial path metric at the first time instant is infinity for all states except state 0. For each state,
there are two possible predecessors. The mechanism of calculating the predecessors (and successors) The path
Design and Implementation of High Speed Low Power Viterbi Decoder
www.ijerd.com 28 | Page
metrics from both these predecessors are compared and the one with the smallest path metric is selected. This is
the most probable transition that occurred in the original message. In addition, a single bit is also stored for each
state which specifies whether the lower or upper predecessor was selected.
Fig-2.3 A sample implementation of the path metric unit
In cases where both paths result in the same path metric to the state, either the higher or lower state
may consistently be chosen as the surviving predecessor. For the purpose of this paper the higher state is
consistently chosen as the surviving predecessor. Finally, the state with the least accumulated path metric at the
current time instant is located. This state is called the global winner and is the state from which traceback
operation will begin. This method of starting the trace back operation from the global winner instead of an
arbitrary state was described by Linda Bracken bury [22] in her design of an asynchronous Viterbi decoder. This
greatly improves probability of finding the correct traceback path quicker and hence reduces the amount of
history information that needs to be maintained. It also reduces the number of updates required to the surviving
path. Both these measures result in improved energy savings. The values for the surviving predecessors (also
called local winners) and the global winner are passed to Block 3.
Fig -2.4 A sample implementation of an ACS unit
2.4 Traceback Unit
The global winner for the current state is received from Block 2. Its predecessor is selected in the
manner . In this way, working backwards through the trellis, the path with the minimum accumulated path
metric is selected. This path is known as the traceback path. A diagrammatic description will help visualize this
process.the trellis diagram for a ½ K=3 (7, 5) coder with sample input taken as the received data.
Fig -2.5 Selected minimum error path for a1/2 k=3(7,5) decoder
Design and Implementation of High Speed Low Power Viterbi Decoder
www.ijerd.com 29 | Page
The state having minimum accumulated error at the last time instant is State 10 and traceback is
started here. Moving backwards through the trellis, the minimum error path out of the two possible predecessors
from that state is selected. This path is marked in blue. The actual received data is described at the bottom while
the expected data written in blue along the selected path. It is observed that at time slot three there was an error
in received data (11). This was corrected to (10) by the decoder.
Fig-2.6 Schematic representation of the viterbi decoder
Local winner information must be stored for five times the constraint length. For a K =7 decoder, this
results in storing history for 7 x 5 = 35 time slots. The state of the decoder at the time instant 35 time slots prior
can then be accurately determined. This state value is passed to Block 4. At the next time slot, all the trellis
values are shifted left to the previous time slot. The path metric for the last received data and compute the
minimum error path is then calculated. If the global winner at this stage is not a child of the previous global
winner, the traceback path has to be updated accordingly until the traceback state is a child of the previous state.
Fig-2.7 Block diagram of the Trace Back unit
Multiple traceback paths are possible and it may be thought that traceback up to the first bit is
necessary to correctly determine the surviving path. However, it was found that all possible paths converge
within a certain distance or depth of trace back. This information is useful as it allows the setting of a certain
trace back depth beyond which it is neither necessary nor advantageous to store path metric and other
information. This greatly reduces memory storage requirements and hence energy consumption of the decoder.
Empirical observations showed that a depth of five times the constraint length was sufficient to ensure merging
of paths . Therefore, local winner information is stored for 35 slots (five times seven) in the decoder used for
this paper. Block 4. Data Input Determination Now going forwards through the traceback path, the state
transitions at successive time intervals are studies and the data bit that would have caused this transition is
determined. This represents the decoded output.
Determining Successors to a particular State Each state is represented by 6 shift registers (in the case of
a K=7 encoder or decoder). The next state can therefore be obtained by a right shift of the values of the shift
registers. The first shift register is given a value of 0. The resulting state represents the next state of the coder if
the input bit was 0. By adding 32 (1x25) to this value, the next state of the coder if the input bit was 1
Determining Predecessors to a particular State In a similar way, the first predecessor can be calculated this time
by a left shift of the values of the shift registers. By adding one (1x20) to this value, the value of the second
predecessor to the state is derived.
2.5 State Metric Storage: The block stores the partial path metric of each state at the current stage.
Design and Implementation of High Speed Low Power Viterbi Decoder
www.ijerd.com 30 | Page
2.6 Output Generator:
This block generates the decoded output sequence. In the traceback approach, the block incorporates
combinational logic, which traces back along the survivor path and latches the path (equivalently the decoded
output sequence) to a register.
2.7 Encoding Mechanism
Data is coded by using a convolutional encoder. It consists of a series of shift registers and an
associated combinatorial logic. The combinatorial logic is usually a series of exclusive-or gates. The
conventional encoder ½ K=7, (171,133) is used for the purpose of this paper. The octal numbers 171 and 133
when represented in binary form correspond to the connection of the shift registers to the upper and lower
exclusive-or gates respectively. Figure 3.1 represents this convolutional encoder that will be used for the paper.
2.8 Decoding Mechanism
There are two main mechanisms by which Viterbi decoding may be carried out namely, the Register
Exchange mechanism and the Traceback mechanism. Register exchange mechanisms, as explained by Ranpara
and Sam Ha store the partially decoded output sequence along the path. The advantage of this approach is that it
eliminates the need for traceback and hence reduces latency. However at each stage, the contents of each
register needs to be copied to the next stage.
This makes the hardware complex and more energy consuming than the traceback mechanism. Traceback
mechanisms use a single bit to indicate whether the survivor branch came from the upper or lower path. This
information is used to traceback the surviving path from the final state to the initial state. This path can then be
used to obtain the decoded sequence. Traceback mechanisms prove to be less energy consuming and will hence
be the approach followed in this paper.
Decoding may be done using either hard decision inputs or soft decision inputs. Inputs that arrive at the
receiver may not be exactly zero or one. Having been affected by noise, they will have values in between and
even higher or lower than zero and one. The values may also be complex in nature.
In the hard decision Viterbi decoder, each input that arrives at the receiver is converted into a binary
value (either 0 or 1). In the soft decision Viterbi decoder, several levels are created and the arriving input is
categorized into a level that is closest to its value. If the possible values are split into 8 decision levels, these
levels may be represented by 3 bits and this is known as a 3 bit Soft decision.
This paper uses a hard decision Viterbi decoder for the purpose of developing and verifying the new
energy saving algorithm. Once the algorithm is verified, a soft decision Viterbi decoder may be used in place of
the hard decision decoder. Figure 3.2 shows the various stages required to decode data using the Viterbi
Algorithm. The decoding mechanism comprises of three major stages namely the Branch Metric Computation
Unit, the Path Metric Computation and Add-Compare-Select (ACS) Unit and the Traceback Unit.
3.Main Objectives: The main objectives of this paper are as follows
1. An understanding of the background literature relevant to error detection and error control mechanisms
as currently used in packetized digital communication networks.
2. A detailed understanding of the concept of convolutional coding, and decoding using the Viterbi
algorithm.
3. An implementation of the Viterbi algorithm in verilog so it is working correctly by comparing its
performance with that of the Viterbi decoder function provided by verilog (A designed Viterbi decoder is
needed because verilog does not provide access to the code.
4. A resolution of questions that still need to be answered about the T- algorithm including the correct
initialization of component decoders and the stability of the feedback mechanism
5. An implementation in verilog of the T- algorithm as a modification of the Viterbi algorithm.
6. An evaluation of the T- algorithm in terms of its accuracy and capacity for achieving energy saving
Timing Analysis will be performed on the basis of bit-error performance, packet loss rates and execution time
(considered to provide a first order approximation to energy consumption).
III. RESULTS
Fig-4.1 Top Module of VITERBI DECODER
Design and Implementation of High Speed Low Power Viterbi Decoder
www.ijerd.com 31 | Page
In viterbi decoder it has 4 modules BMU,PMU,ACSU,SMU where the input is taken by the BMU and
output arrives at SMU
Fig-4.2Control Unit SCHEMATIC DIAGRAM
Fig-4.3 TRACEBACK UNIT BLOCK DIAGRAM
In trace back unit the input will be taken from the add compare select unit and again it will trace back
to the path metric whether it is the shortest path are not it calculate and it will send to the survivor metric unit
Fig-4.4 TRACEBACK UNIT WAVEFORM
Reset-input;Clock1-input;Clock2-input;Lnit-input;Hold-input;Data_TB-output
IV. CONCLUSION
We have proposed a high speed low power VD design for TCM systems.theprecomputation
architecture that incorporates T-algorithm efficiently reduces the power consumption of VDs without reducing
the decoding speed appreciably. we have also analysed precomputation algorithm .where the optimal
precomputation steps are calculated and dicussed.this algorithm is suitable for TCMsystems which always
employ high rate convolutional code.finally we presented a design case.Both the ACSU and SMU are modified
to correctly to decode the signal FPGA and power estimation results show that ,compared with the full trellis
VD without a low power scheme,theprecomputation VD could reduce the power consumption by 70% with only
11% reduction of the maximum decoding speed.
By using FPGA device and hybrid microprocessor the decoding benefits can be achieved in future. In
future to improve the decoder performance the Viterbi algorithm is carried out in reconfigurable
hardware. power saving architecture can be designed for the above decoder which is executable in the mobile
devices. Viterbi decoder can also be implemented using verilog. Therefore in the future Viterbi algorithm may
be used for various scenarios. So in the future the complexity can be greatly reduced. By using FPGA device
Design and Implementation of High Speed Low Power Viterbi Decoder
www.ijerd.com 32 | Page
and hybrid microprocessor the decoding benefits can be achieved in future. In future to improve the decoder
performance the Viterbi algorithm is carried out in reconfigurable hardware. power saving architecture can be
designed for the above decoder which is executable in the mobile devices. Viterbi decoder can also be
implemented using verilog. Therefore in the future Viterbi algorithm may be used for various scenarios. So in
the future the complexity can be greatly reduced.
REFERENCES
[1]. The “20 Mbps convolutional encoder Viterbi decoder STEL-2020,”Stanford Telecommunications,
Santa Clara, CA, Oct. 1989.
[2]. A.V. Aho, J.E. Hopcroft, and J.D. Ullman, The Design and Analysis of computer Algorithms Reading,
MA Addison-Wesley, 1974.
[3]. ATSC Standard a/53(1995) “ATSC digital television standard”, 1995.
[4]. P.J. Black and T.H.Meng, “A hardware efficient parallel Viterbi algorithm,” in ICASSP , vol.2, pp.
893-896, 1990.
[5]. P.J. Black and T.H.Meng, “ A 140-Mb/s, 32-state, radix-4 Viterbi decoder,” IEEE journal of Solid-state
Circuits, vol. 27, pp. 1877-1885, Dec. 1992.
[6]. P.J. Black and T.H. Meng,” A unified approach to the Viterbi algorithm state metric update for shift
register processes,” in Proc. ICASSP, vol.5, pp. 629-632, Mar. 1992.
[7]. S. Benedetto, G. Montorsi, “Unveiling Turbo codes: Some results on parallel concatenated coding
schemes,” IEEE Transactions on Information Theory, Vol. 42 No. 2, p. 409-428, March 1996.
[8]. A. Chandrakasan, S. Sheng, and R.W. Brodersen, “Low-power CMOS digital design,” IEEE Journal of
Solid-State Circuits, vol. 27, pp. 473-484, Apr. 1992.
[9]. R. Cypher and C.B. Shung, “Generalized traceback techniques for survivor memory management
in the Viterbi algorithm,” in Proc. GLOBECOM, pp. 1318-1322, Dec. 1990.
[10]. J.E. Dunn,”A 50Mb/s multiplexed coding system for shuttle communications,” IEEE trans. Commun.,
vol. COM-26, pp. 1636-1638, Nov. 1978.
[11]. G Fettweis and H, Meyr, “High-speed parallel Viterbi decoding algorithm and VLSI-
architecture,” IEEE communication Magazine, vol. 29, pp. 46-55, May 1991.
[12]. G. Fettweis, H. Meyr, “A 100 Mbits/s Viterbi decoder chip: Novel architecture and its realization,” IEEE
ICC’90, 307.4, 463-467, April 1990.
[13]. G. Fettweis, H. Meyr, “A modular variable speed Viterbi decoding implementation for high data rates,”
North-Holland: Signal Processing IV, Proc. EUSIPCO’88, 339-342, 1988.
[14]. G. Fettweis, H. Meyr,”A systeolic array Viterbi processor for high data rates,” Int. Conf. On Systolic
Arrays, Ireland, 1989, Prentice Hall: „Systolic Array Processors‟, 195-204,1989.

Weitere ähnliche Inhalte

Was ist angesagt?

Performances Concatenated LDPC based STBC-OFDM System and MRC Receivers
Performances Concatenated LDPC based STBC-OFDM System and MRC Receivers  Performances Concatenated LDPC based STBC-OFDM System and MRC Receivers
Performances Concatenated LDPC based STBC-OFDM System and MRC Receivers IJECEIAES
 
Iterative network channel decoding with cooperative space-time transmission
Iterative network channel decoding with cooperative space-time transmissionIterative network channel decoding with cooperative space-time transmission
Iterative network channel decoding with cooperative space-time transmissionijasuc
 
Coverage of WCDMA Network Using Different Modulation Techniques with Soft and...
Coverage of WCDMA Network Using Different Modulation Techniques with Soft and...Coverage of WCDMA Network Using Different Modulation Techniques with Soft and...
Coverage of WCDMA Network Using Different Modulation Techniques with Soft and...ijcnac
 
PERFORMANCE EVALUATION OF CDMAROUTER FOR NETWORK - ON - CHIP
PERFORMANCE EVALUATION OF CDMAROUTER FOR NETWORK - ON - CHIPPERFORMANCE EVALUATION OF CDMAROUTER FOR NETWORK - ON - CHIP
PERFORMANCE EVALUATION OF CDMAROUTER FOR NETWORK - ON - CHIPVLSICS Design
 
OPTICAL SWITCHING CONTROLLER USING FPGA AS A CONTROLLER FOR OCDMA ENCODER SYSTEM
OPTICAL SWITCHING CONTROLLER USING FPGA AS A CONTROLLER FOR OCDMA ENCODER SYSTEMOPTICAL SWITCHING CONTROLLER USING FPGA AS A CONTROLLER FOR OCDMA ENCODER SYSTEM
OPTICAL SWITCHING CONTROLLER USING FPGA AS A CONTROLLER FOR OCDMA ENCODER SYSTEMEditor IJCATR
 
Modern SATCOM Forward Error Correction
Modern SATCOM Forward Error CorrectionModern SATCOM Forward Error Correction
Modern SATCOM Forward Error CorrectionGregory Schoenig
 
Effect of Interleaved FEC Code on Wavelet Based MC-CDMA System with Alamouti ...
Effect of Interleaved FEC Code on Wavelet Based MC-CDMA System with Alamouti ...Effect of Interleaved FEC Code on Wavelet Based MC-CDMA System with Alamouti ...
Effect of Interleaved FEC Code on Wavelet Based MC-CDMA System with Alamouti ...IJCSEIT Journal
 
DESIGN OF A COMPACT CIRCULAR MICROSTRIP PATCH ANTENNA FOR WLAN APPLICATIONS
DESIGN OF A COMPACT CIRCULAR MICROSTRIP PATCH ANTENNA FOR WLAN APPLICATIONS DESIGN OF A COMPACT CIRCULAR MICROSTRIP PATCH ANTENNA FOR WLAN APPLICATIONS
DESIGN OF A COMPACT CIRCULAR MICROSTRIP PATCH ANTENNA FOR WLAN APPLICATIONS pijans
 
COMPARATIVE PERFORMANCE ASSESSMENT OF VBLAST ENCODED 8×8 MIMO MC-CDMA WIRELES...
COMPARATIVE PERFORMANCE ASSESSMENT OF VBLAST ENCODED 8×8 MIMO MC-CDMA WIRELES...COMPARATIVE PERFORMANCE ASSESSMENT OF VBLAST ENCODED 8×8 MIMO MC-CDMA WIRELES...
COMPARATIVE PERFORMANCE ASSESSMENT OF VBLAST ENCODED 8×8 MIMO MC-CDMA WIRELES...pijans
 
IRJET- Performance Analysis of IP Over Optical CDMA System based on RD Code
IRJET- Performance Analysis of IP Over Optical CDMA System based on RD CodeIRJET- Performance Analysis of IP Over Optical CDMA System based on RD Code
IRJET- Performance Analysis of IP Over Optical CDMA System based on RD CodeIRJET Journal
 
VTU 8TH SEM CSE ADHOC NETWORKS SOLVED PAPERS OF JUNE-2014 DEC-14 & JUNE-2015
VTU 8TH SEM CSE ADHOC NETWORKS SOLVED PAPERS OF JUNE-2014 DEC-14 & JUNE-2015VTU 8TH SEM CSE ADHOC NETWORKS SOLVED PAPERS OF JUNE-2014 DEC-14 & JUNE-2015
VTU 8TH SEM CSE ADHOC NETWORKS SOLVED PAPERS OF JUNE-2014 DEC-14 & JUNE-2015vtunotesbysree
 
Performance analysis of negative group delay network using MIMO technique
Performance analysis of negative group delay network using MIMO techniquePerformance analysis of negative group delay network using MIMO technique
Performance analysis of negative group delay network using MIMO techniqueTELKOMNIKA JOURNAL
 
Comparative Performance Assessment of V-Blast Encoded 8×8 MIMO MC-CDMA Wirele...
Comparative Performance Assessment of V-Blast Encoded 8×8 MIMO MC-CDMA Wirele...Comparative Performance Assessment of V-Blast Encoded 8×8 MIMO MC-CDMA Wirele...
Comparative Performance Assessment of V-Blast Encoded 8×8 MIMO MC-CDMA Wirele...pijans
 
SECURED TEXT MESSAGE TRANSMISSION WITH IMPLEMENTATION OF CONCATENATED CFB CRY...
SECURED TEXT MESSAGE TRANSMISSION WITH IMPLEMENTATION OF CONCATENATED CFB CRY...SECURED TEXT MESSAGE TRANSMISSION WITH IMPLEMENTATION OF CONCATENATED CFB CRY...
SECURED TEXT MESSAGE TRANSMISSION WITH IMPLEMENTATION OF CONCATENATED CFB CRY...cscpconf
 
Equalization & Channel Estimation of Block & Comb Type Codes
Equalization & Channel Estimation of Block & Comb Type CodesEqualization & Channel Estimation of Block & Comb Type Codes
Equalization & Channel Estimation of Block & Comb Type CodesAM Publications
 
Implementation of Joint Network Channel Decoding Algorithm for Multiple Acces...
Implementation of Joint Network Channel Decoding Algorithm for Multiple Acces...Implementation of Joint Network Channel Decoding Algorithm for Multiple Acces...
Implementation of Joint Network Channel Decoding Algorithm for Multiple Acces...csandit
 
Rs(n,k)exploring n and k in reed solomon fec code
Rs(n,k)exploring n and k in reed solomon fec codeRs(n,k)exploring n and k in reed solomon fec code
Rs(n,k)exploring n and k in reed solomon fec codeMapYourTech
 

Was ist angesagt? (20)

Performances Concatenated LDPC based STBC-OFDM System and MRC Receivers
Performances Concatenated LDPC based STBC-OFDM System and MRC Receivers  Performances Concatenated LDPC based STBC-OFDM System and MRC Receivers
Performances Concatenated LDPC based STBC-OFDM System and MRC Receivers
 
Dl34689693
Dl34689693Dl34689693
Dl34689693
 
Iterative network channel decoding with cooperative space-time transmission
Iterative network channel decoding with cooperative space-time transmissionIterative network channel decoding with cooperative space-time transmission
Iterative network channel decoding with cooperative space-time transmission
 
Coverage of WCDMA Network Using Different Modulation Techniques with Soft and...
Coverage of WCDMA Network Using Different Modulation Techniques with Soft and...Coverage of WCDMA Network Using Different Modulation Techniques with Soft and...
Coverage of WCDMA Network Using Different Modulation Techniques with Soft and...
 
PERFORMANCE EVALUATION OF CDMAROUTER FOR NETWORK - ON - CHIP
PERFORMANCE EVALUATION OF CDMAROUTER FOR NETWORK - ON - CHIPPERFORMANCE EVALUATION OF CDMAROUTER FOR NETWORK - ON - CHIP
PERFORMANCE EVALUATION OF CDMAROUTER FOR NETWORK - ON - CHIP
 
www.ijerd.com
www.ijerd.comwww.ijerd.com
www.ijerd.com
 
OPTICAL SWITCHING CONTROLLER USING FPGA AS A CONTROLLER FOR OCDMA ENCODER SYSTEM
OPTICAL SWITCHING CONTROLLER USING FPGA AS A CONTROLLER FOR OCDMA ENCODER SYSTEMOPTICAL SWITCHING CONTROLLER USING FPGA AS A CONTROLLER FOR OCDMA ENCODER SYSTEM
OPTICAL SWITCHING CONTROLLER USING FPGA AS A CONTROLLER FOR OCDMA ENCODER SYSTEM
 
Modern SATCOM Forward Error Correction
Modern SATCOM Forward Error CorrectionModern SATCOM Forward Error Correction
Modern SATCOM Forward Error Correction
 
Effect of Interleaved FEC Code on Wavelet Based MC-CDMA System with Alamouti ...
Effect of Interleaved FEC Code on Wavelet Based MC-CDMA System with Alamouti ...Effect of Interleaved FEC Code on Wavelet Based MC-CDMA System with Alamouti ...
Effect of Interleaved FEC Code on Wavelet Based MC-CDMA System with Alamouti ...
 
DESIGN OF A COMPACT CIRCULAR MICROSTRIP PATCH ANTENNA FOR WLAN APPLICATIONS
DESIGN OF A COMPACT CIRCULAR MICROSTRIP PATCH ANTENNA FOR WLAN APPLICATIONS DESIGN OF A COMPACT CIRCULAR MICROSTRIP PATCH ANTENNA FOR WLAN APPLICATIONS
DESIGN OF A COMPACT CIRCULAR MICROSTRIP PATCH ANTENNA FOR WLAN APPLICATIONS
 
COMPARATIVE PERFORMANCE ASSESSMENT OF VBLAST ENCODED 8×8 MIMO MC-CDMA WIRELES...
COMPARATIVE PERFORMANCE ASSESSMENT OF VBLAST ENCODED 8×8 MIMO MC-CDMA WIRELES...COMPARATIVE PERFORMANCE ASSESSMENT OF VBLAST ENCODED 8×8 MIMO MC-CDMA WIRELES...
COMPARATIVE PERFORMANCE ASSESSMENT OF VBLAST ENCODED 8×8 MIMO MC-CDMA WIRELES...
 
IRJET- Performance Analysis of IP Over Optical CDMA System based on RD Code
IRJET- Performance Analysis of IP Over Optical CDMA System based on RD CodeIRJET- Performance Analysis of IP Over Optical CDMA System based on RD Code
IRJET- Performance Analysis of IP Over Optical CDMA System based on RD Code
 
VTU 8TH SEM CSE ADHOC NETWORKS SOLVED PAPERS OF JUNE-2014 DEC-14 & JUNE-2015
VTU 8TH SEM CSE ADHOC NETWORKS SOLVED PAPERS OF JUNE-2014 DEC-14 & JUNE-2015VTU 8TH SEM CSE ADHOC NETWORKS SOLVED PAPERS OF JUNE-2014 DEC-14 & JUNE-2015
VTU 8TH SEM CSE ADHOC NETWORKS SOLVED PAPERS OF JUNE-2014 DEC-14 & JUNE-2015
 
Performance analysis of negative group delay network using MIMO technique
Performance analysis of negative group delay network using MIMO techniquePerformance analysis of negative group delay network using MIMO technique
Performance analysis of negative group delay network using MIMO technique
 
Comparative Performance Assessment of V-Blast Encoded 8×8 MIMO MC-CDMA Wirele...
Comparative Performance Assessment of V-Blast Encoded 8×8 MIMO MC-CDMA Wirele...Comparative Performance Assessment of V-Blast Encoded 8×8 MIMO MC-CDMA Wirele...
Comparative Performance Assessment of V-Blast Encoded 8×8 MIMO MC-CDMA Wirele...
 
SECURED TEXT MESSAGE TRANSMISSION WITH IMPLEMENTATION OF CONCATENATED CFB CRY...
SECURED TEXT MESSAGE TRANSMISSION WITH IMPLEMENTATION OF CONCATENATED CFB CRY...SECURED TEXT MESSAGE TRANSMISSION WITH IMPLEMENTATION OF CONCATENATED CFB CRY...
SECURED TEXT MESSAGE TRANSMISSION WITH IMPLEMENTATION OF CONCATENATED CFB CRY...
 
Equalization & Channel Estimation of Block & Comb Type Codes
Equalization & Channel Estimation of Block & Comb Type CodesEqualization & Channel Estimation of Block & Comb Type Codes
Equalization & Channel Estimation of Block & Comb Type Codes
 
Implementation of Joint Network Channel Decoding Algorithm for Multiple Acces...
Implementation of Joint Network Channel Decoding Algorithm for Multiple Acces...Implementation of Joint Network Channel Decoding Algorithm for Multiple Acces...
Implementation of Joint Network Channel Decoding Algorithm for Multiple Acces...
 
At34278282
At34278282At34278282
At34278282
 
Rs(n,k)exploring n and k in reed solomon fec code
Rs(n,k)exploring n and k in reed solomon fec codeRs(n,k)exploring n and k in reed solomon fec code
Rs(n,k)exploring n and k in reed solomon fec code
 

Andere mochten auch

La memoria difensiva di Berlusconi che sarà presentata nella giunta per le im...
La memoria difensiva di Berlusconi che sarà presentata nella giunta per le im...La memoria difensiva di Berlusconi che sarà presentata nella giunta per le im...
La memoria difensiva di Berlusconi che sarà presentata nella giunta per le im...Luigi Palamara
 
International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)IJERD Editor
 
Tietojärjestelmien hankinta Suomessa 2013
Tietojärjestelmien hankinta Suomessa 2013Tietojärjestelmien hankinta Suomessa 2013
Tietojärjestelmien hankinta Suomessa 2013TIVIA ry
 
SHRM_MembershipCard_01774835
SHRM_MembershipCard_01774835SHRM_MembershipCard_01774835
SHRM_MembershipCard_01774835Robert Pusey
 
Web- és mobil SEO trendek (2013.01-10.01) kivonat
Web- és mobil SEO trendek (2013.01-10.01) kivonatWeb- és mobil SEO trendek (2013.01-10.01) kivonat
Web- és mobil SEO trendek (2013.01-10.01) kivonatKrisztián Száraz
 

Andere mochten auch (8)

La memoria difensiva di Berlusconi che sarà presentata nella giunta per le im...
La memoria difensiva di Berlusconi che sarà presentata nella giunta per le im...La memoria difensiva di Berlusconi che sarà presentata nella giunta per le im...
La memoria difensiva di Berlusconi che sarà presentata nella giunta per le im...
 
Area13
Area13Area13
Area13
 
International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)
 
Informatica
InformaticaInformatica
Informatica
 
Tietojärjestelmien hankinta Suomessa 2013
Tietojärjestelmien hankinta Suomessa 2013Tietojärjestelmien hankinta Suomessa 2013
Tietojärjestelmien hankinta Suomessa 2013
 
SHRM_MembershipCard_01774835
SHRM_MembershipCard_01774835SHRM_MembershipCard_01774835
SHRM_MembershipCard_01774835
 
AJM.PF. SDL.P3
AJM.PF. SDL.P3AJM.PF. SDL.P3
AJM.PF. SDL.P3
 
Web- és mobil SEO trendek (2013.01-10.01) kivonat
Web- és mobil SEO trendek (2013.01-10.01) kivonatWeb- és mobil SEO trendek (2013.01-10.01) kivonat
Web- és mobil SEO trendek (2013.01-10.01) kivonat
 

Ähnlich wie International Journal of Engineering Research and Development (IJERD)

AN EFFICIENT VITERBI DECODER
AN EFFICIENT VITERBI DECODERAN EFFICIENT VITERBI DECODER
AN EFFICIENT VITERBI DECODERIJCSEA Journal
 
FPGA Implementation of Viterbi Decoder using Hybrid Trace Back and Register E...
FPGA Implementation of Viterbi Decoder using Hybrid Trace Back and Register E...FPGA Implementation of Viterbi Decoder using Hybrid Trace Back and Register E...
FPGA Implementation of Viterbi Decoder using Hybrid Trace Back and Register E...ijsrd.com
 
Implementation of Viterbi Decoder on FPGA to Improve Design
Implementation of Viterbi Decoder on FPGA to Improve DesignImplementation of Viterbi Decoder on FPGA to Improve Design
Implementation of Viterbi Decoder on FPGA to Improve Designijsrd.com
 
FPGA Implementation of Efficient Viterbi Decoder for Multi-Carrier Systems
FPGA Implementation of Efficient Viterbi Decoder for  Multi-Carrier SystemsFPGA Implementation of Efficient Viterbi Decoder for  Multi-Carrier Systems
FPGA Implementation of Efficient Viterbi Decoder for Multi-Carrier SystemsIJMER
 
High Speed Low-Power Viterbi Decoder Using Trellis Code Modulation
High Speed Low-Power Viterbi Decoder Using Trellis Code ModulationHigh Speed Low-Power Viterbi Decoder Using Trellis Code Modulation
High Speed Low-Power Viterbi Decoder Using Trellis Code ModulationMangaiK4
 
High Speed Low-Power Viterbi Decoder Using Trellis Code Modulation
High Speed Low-Power Viterbi Decoder Using Trellis Code ModulationHigh Speed Low-Power Viterbi Decoder Using Trellis Code Modulation
High Speed Low-Power Viterbi Decoder Using Trellis Code ModulationMangaiK4
 
A 2 stage data word packet communication decoder using rate 1-by-3 viterbi de...
A 2 stage data word packet communication decoder using rate 1-by-3 viterbi de...A 2 stage data word packet communication decoder using rate 1-by-3 viterbi de...
A 2 stage data word packet communication decoder using rate 1-by-3 viterbi de...eSAT Journals
 
Design of High Speed and Low Power Veterbi Decoder for Trellis Coded Modulati...
Design of High Speed and Low Power Veterbi Decoder for Trellis Coded Modulati...Design of High Speed and Low Power Veterbi Decoder for Trellis Coded Modulati...
Design of High Speed and Low Power Veterbi Decoder for Trellis Coded Modulati...ijsrd.com
 
Iaetsd vlsi implementation of efficient convolutional
Iaetsd vlsi implementation of efficient convolutionalIaetsd vlsi implementation of efficient convolutional
Iaetsd vlsi implementation of efficient convolutionalIaetsd Iaetsd
 
An Efficient Low Power Convolutional Coding with Viterbi Decoding using FSM
An Efficient Low Power Convolutional Coding with Viterbi Decoding using FSMAn Efficient Low Power Convolutional Coding with Viterbi Decoding using FSM
An Efficient Low Power Convolutional Coding with Viterbi Decoding using FSMAssociate Professor in VSB Coimbatore
 
Viterbi Decoder Plain Sailing Design for TCM Decoders
Viterbi Decoder Plain Sailing Design for TCM DecodersViterbi Decoder Plain Sailing Design for TCM Decoders
Viterbi Decoder Plain Sailing Design for TCM Decodersijtsrd
 
Estimation and design of mc ds-cdma for hybrid concatenated coding in high sp...
Estimation and design of mc ds-cdma for hybrid concatenated coding in high sp...Estimation and design of mc ds-cdma for hybrid concatenated coding in high sp...
Estimation and design of mc ds-cdma for hybrid concatenated coding in high sp...eSAT Journals
 
Estimation and design of mc ds-cdma for hybrid concatenated coding in high sp...
Estimation and design of mc ds-cdma for hybrid concatenated coding in high sp...Estimation and design of mc ds-cdma for hybrid concatenated coding in high sp...
Estimation and design of mc ds-cdma for hybrid concatenated coding in high sp...eSAT Publishing House
 

Ähnlich wie International Journal of Engineering Research and Development (IJERD) (20)

AN EFFICIENT VITERBI DECODER
AN EFFICIENT VITERBI DECODERAN EFFICIENT VITERBI DECODER
AN EFFICIENT VITERBI DECODER
 
FPGA Implementation of Viterbi Decoder using Hybrid Trace Back and Register E...
FPGA Implementation of Viterbi Decoder using Hybrid Trace Back and Register E...FPGA Implementation of Viterbi Decoder using Hybrid Trace Back and Register E...
FPGA Implementation of Viterbi Decoder using Hybrid Trace Back and Register E...
 
www.ijerd.com
www.ijerd.comwww.ijerd.com
www.ijerd.com
 
K0216571
K0216571K0216571
K0216571
 
Implementation of Viterbi Decoder on FPGA to Improve Design
Implementation of Viterbi Decoder on FPGA to Improve DesignImplementation of Viterbi Decoder on FPGA to Improve Design
Implementation of Viterbi Decoder on FPGA to Improve Design
 
E42032732
E42032732E42032732
E42032732
 
E010224043
E010224043E010224043
E010224043
 
FPGA Implementation of Efficient Viterbi Decoder for Multi-Carrier Systems
FPGA Implementation of Efficient Viterbi Decoder for  Multi-Carrier SystemsFPGA Implementation of Efficient Viterbi Decoder for  Multi-Carrier Systems
FPGA Implementation of Efficient Viterbi Decoder for Multi-Carrier Systems
 
High Speed Low-Power Viterbi Decoder Using Trellis Code Modulation
High Speed Low-Power Viterbi Decoder Using Trellis Code ModulationHigh Speed Low-Power Viterbi Decoder Using Trellis Code Modulation
High Speed Low-Power Viterbi Decoder Using Trellis Code Modulation
 
High Speed Low-Power Viterbi Decoder Using Trellis Code Modulation
High Speed Low-Power Viterbi Decoder Using Trellis Code ModulationHigh Speed Low-Power Viterbi Decoder Using Trellis Code Modulation
High Speed Low-Power Viterbi Decoder Using Trellis Code Modulation
 
A 2 stage data word packet communication decoder using rate 1-by-3 viterbi de...
A 2 stage data word packet communication decoder using rate 1-by-3 viterbi de...A 2 stage data word packet communication decoder using rate 1-by-3 viterbi de...
A 2 stage data word packet communication decoder using rate 1-by-3 viterbi de...
 
Turbo encoder and decoder chip design and FPGA device analysis for communicat...
Turbo encoder and decoder chip design and FPGA device analysis for communicat...Turbo encoder and decoder chip design and FPGA device analysis for communicat...
Turbo encoder and decoder chip design and FPGA device analysis for communicat...
 
Design of High Speed and Low Power Veterbi Decoder for Trellis Coded Modulati...
Design of High Speed and Low Power Veterbi Decoder for Trellis Coded Modulati...Design of High Speed and Low Power Veterbi Decoder for Trellis Coded Modulati...
Design of High Speed and Low Power Veterbi Decoder for Trellis Coded Modulati...
 
Iaetsd vlsi implementation of efficient convolutional
Iaetsd vlsi implementation of efficient convolutionalIaetsd vlsi implementation of efficient convolutional
Iaetsd vlsi implementation of efficient convolutional
 
Ijetcas14 378
Ijetcas14 378Ijetcas14 378
Ijetcas14 378
 
Ff34970973
Ff34970973Ff34970973
Ff34970973
 
An Efficient Low Power Convolutional Coding with Viterbi Decoding using FSM
An Efficient Low Power Convolutional Coding with Viterbi Decoding using FSMAn Efficient Low Power Convolutional Coding with Viterbi Decoding using FSM
An Efficient Low Power Convolutional Coding with Viterbi Decoding using FSM
 
Viterbi Decoder Plain Sailing Design for TCM Decoders
Viterbi Decoder Plain Sailing Design for TCM DecodersViterbi Decoder Plain Sailing Design for TCM Decoders
Viterbi Decoder Plain Sailing Design for TCM Decoders
 
Estimation and design of mc ds-cdma for hybrid concatenated coding in high sp...
Estimation and design of mc ds-cdma for hybrid concatenated coding in high sp...Estimation and design of mc ds-cdma for hybrid concatenated coding in high sp...
Estimation and design of mc ds-cdma for hybrid concatenated coding in high sp...
 
Estimation and design of mc ds-cdma for hybrid concatenated coding in high sp...
Estimation and design of mc ds-cdma for hybrid concatenated coding in high sp...Estimation and design of mc ds-cdma for hybrid concatenated coding in high sp...
Estimation and design of mc ds-cdma for hybrid concatenated coding in high sp...
 

Mehr von IJERD Editor

A Novel Method for Prevention of Bandwidth Distributed Denial of Service Attacks
A Novel Method for Prevention of Bandwidth Distributed Denial of Service AttacksA Novel Method for Prevention of Bandwidth Distributed Denial of Service Attacks
A Novel Method for Prevention of Bandwidth Distributed Denial of Service AttacksIJERD Editor
 
MEMS MICROPHONE INTERFACE
MEMS MICROPHONE INTERFACEMEMS MICROPHONE INTERFACE
MEMS MICROPHONE INTERFACEIJERD Editor
 
Influence of tensile behaviour of slab on the structural Behaviour of shear c...
Influence of tensile behaviour of slab on the structural Behaviour of shear c...Influence of tensile behaviour of slab on the structural Behaviour of shear c...
Influence of tensile behaviour of slab on the structural Behaviour of shear c...IJERD Editor
 
Gold prospecting using Remote Sensing ‘A case study of Sudan’
Gold prospecting using Remote Sensing ‘A case study of Sudan’Gold prospecting using Remote Sensing ‘A case study of Sudan’
Gold prospecting using Remote Sensing ‘A case study of Sudan’IJERD Editor
 
Reducing Corrosion Rate by Welding Design
Reducing Corrosion Rate by Welding DesignReducing Corrosion Rate by Welding Design
Reducing Corrosion Rate by Welding DesignIJERD Editor
 
Router 1X3 – RTL Design and Verification
Router 1X3 – RTL Design and VerificationRouter 1X3 – RTL Design and Verification
Router 1X3 – RTL Design and VerificationIJERD Editor
 
Active Power Exchange in Distributed Power-Flow Controller (DPFC) At Third Ha...
Active Power Exchange in Distributed Power-Flow Controller (DPFC) At Third Ha...Active Power Exchange in Distributed Power-Flow Controller (DPFC) At Third Ha...
Active Power Exchange in Distributed Power-Flow Controller (DPFC) At Third Ha...IJERD Editor
 
Mitigation of Voltage Sag/Swell with Fuzzy Control Reduced Rating DVR
Mitigation of Voltage Sag/Swell with Fuzzy Control Reduced Rating DVRMitigation of Voltage Sag/Swell with Fuzzy Control Reduced Rating DVR
Mitigation of Voltage Sag/Swell with Fuzzy Control Reduced Rating DVRIJERD Editor
 
Study on the Fused Deposition Modelling In Additive Manufacturing
Study on the Fused Deposition Modelling In Additive ManufacturingStudy on the Fused Deposition Modelling In Additive Manufacturing
Study on the Fused Deposition Modelling In Additive ManufacturingIJERD Editor
 
Spyware triggering system by particular string value
Spyware triggering system by particular string valueSpyware triggering system by particular string value
Spyware triggering system by particular string valueIJERD Editor
 
A Blind Steganalysis on JPEG Gray Level Image Based on Statistical Features a...
A Blind Steganalysis on JPEG Gray Level Image Based on Statistical Features a...A Blind Steganalysis on JPEG Gray Level Image Based on Statistical Features a...
A Blind Steganalysis on JPEG Gray Level Image Based on Statistical Features a...IJERD Editor
 
Secure Image Transmission for Cloud Storage System Using Hybrid Scheme
Secure Image Transmission for Cloud Storage System Using Hybrid SchemeSecure Image Transmission for Cloud Storage System Using Hybrid Scheme
Secure Image Transmission for Cloud Storage System Using Hybrid SchemeIJERD Editor
 
Application of Buckley-Leverett Equation in Modeling the Radius of Invasion i...
Application of Buckley-Leverett Equation in Modeling the Radius of Invasion i...Application of Buckley-Leverett Equation in Modeling the Radius of Invasion i...
Application of Buckley-Leverett Equation in Modeling the Radius of Invasion i...IJERD Editor
 
Gesture Gaming on the World Wide Web Using an Ordinary Web Camera
Gesture Gaming on the World Wide Web Using an Ordinary Web CameraGesture Gaming on the World Wide Web Using an Ordinary Web Camera
Gesture Gaming on the World Wide Web Using an Ordinary Web CameraIJERD Editor
 
Hardware Analysis of Resonant Frequency Converter Using Isolated Circuits And...
Hardware Analysis of Resonant Frequency Converter Using Isolated Circuits And...Hardware Analysis of Resonant Frequency Converter Using Isolated Circuits And...
Hardware Analysis of Resonant Frequency Converter Using Isolated Circuits And...IJERD Editor
 
Simulated Analysis of Resonant Frequency Converter Using Different Tank Circu...
Simulated Analysis of Resonant Frequency Converter Using Different Tank Circu...Simulated Analysis of Resonant Frequency Converter Using Different Tank Circu...
Simulated Analysis of Resonant Frequency Converter Using Different Tank Circu...IJERD Editor
 
Moon-bounce: A Boon for VHF Dxing
Moon-bounce: A Boon for VHF DxingMoon-bounce: A Boon for VHF Dxing
Moon-bounce: A Boon for VHF DxingIJERD Editor
 
“MS-Extractor: An Innovative Approach to Extract Microsatellites on „Y‟ Chrom...
“MS-Extractor: An Innovative Approach to Extract Microsatellites on „Y‟ Chrom...“MS-Extractor: An Innovative Approach to Extract Microsatellites on „Y‟ Chrom...
“MS-Extractor: An Innovative Approach to Extract Microsatellites on „Y‟ Chrom...IJERD Editor
 
Importance of Measurements in Smart Grid
Importance of Measurements in Smart GridImportance of Measurements in Smart Grid
Importance of Measurements in Smart GridIJERD Editor
 
Study of Macro level Properties of SCC using GGBS and Lime stone powder
Study of Macro level Properties of SCC using GGBS and Lime stone powderStudy of Macro level Properties of SCC using GGBS and Lime stone powder
Study of Macro level Properties of SCC using GGBS and Lime stone powderIJERD Editor
 

Mehr von IJERD Editor (20)

A Novel Method for Prevention of Bandwidth Distributed Denial of Service Attacks
A Novel Method for Prevention of Bandwidth Distributed Denial of Service AttacksA Novel Method for Prevention of Bandwidth Distributed Denial of Service Attacks
A Novel Method for Prevention of Bandwidth Distributed Denial of Service Attacks
 
MEMS MICROPHONE INTERFACE
MEMS MICROPHONE INTERFACEMEMS MICROPHONE INTERFACE
MEMS MICROPHONE INTERFACE
 
Influence of tensile behaviour of slab on the structural Behaviour of shear c...
Influence of tensile behaviour of slab on the structural Behaviour of shear c...Influence of tensile behaviour of slab on the structural Behaviour of shear c...
Influence of tensile behaviour of slab on the structural Behaviour of shear c...
 
Gold prospecting using Remote Sensing ‘A case study of Sudan’
Gold prospecting using Remote Sensing ‘A case study of Sudan’Gold prospecting using Remote Sensing ‘A case study of Sudan’
Gold prospecting using Remote Sensing ‘A case study of Sudan’
 
Reducing Corrosion Rate by Welding Design
Reducing Corrosion Rate by Welding DesignReducing Corrosion Rate by Welding Design
Reducing Corrosion Rate by Welding Design
 
Router 1X3 – RTL Design and Verification
Router 1X3 – RTL Design and VerificationRouter 1X3 – RTL Design and Verification
Router 1X3 – RTL Design and Verification
 
Active Power Exchange in Distributed Power-Flow Controller (DPFC) At Third Ha...
Active Power Exchange in Distributed Power-Flow Controller (DPFC) At Third Ha...Active Power Exchange in Distributed Power-Flow Controller (DPFC) At Third Ha...
Active Power Exchange in Distributed Power-Flow Controller (DPFC) At Third Ha...
 
Mitigation of Voltage Sag/Swell with Fuzzy Control Reduced Rating DVR
Mitigation of Voltage Sag/Swell with Fuzzy Control Reduced Rating DVRMitigation of Voltage Sag/Swell with Fuzzy Control Reduced Rating DVR
Mitigation of Voltage Sag/Swell with Fuzzy Control Reduced Rating DVR
 
Study on the Fused Deposition Modelling In Additive Manufacturing
Study on the Fused Deposition Modelling In Additive ManufacturingStudy on the Fused Deposition Modelling In Additive Manufacturing
Study on the Fused Deposition Modelling In Additive Manufacturing
 
Spyware triggering system by particular string value
Spyware triggering system by particular string valueSpyware triggering system by particular string value
Spyware triggering system by particular string value
 
A Blind Steganalysis on JPEG Gray Level Image Based on Statistical Features a...
A Blind Steganalysis on JPEG Gray Level Image Based on Statistical Features a...A Blind Steganalysis on JPEG Gray Level Image Based on Statistical Features a...
A Blind Steganalysis on JPEG Gray Level Image Based on Statistical Features a...
 
Secure Image Transmission for Cloud Storage System Using Hybrid Scheme
Secure Image Transmission for Cloud Storage System Using Hybrid SchemeSecure Image Transmission for Cloud Storage System Using Hybrid Scheme
Secure Image Transmission for Cloud Storage System Using Hybrid Scheme
 
Application of Buckley-Leverett Equation in Modeling the Radius of Invasion i...
Application of Buckley-Leverett Equation in Modeling the Radius of Invasion i...Application of Buckley-Leverett Equation in Modeling the Radius of Invasion i...
Application of Buckley-Leverett Equation in Modeling the Radius of Invasion i...
 
Gesture Gaming on the World Wide Web Using an Ordinary Web Camera
Gesture Gaming on the World Wide Web Using an Ordinary Web CameraGesture Gaming on the World Wide Web Using an Ordinary Web Camera
Gesture Gaming on the World Wide Web Using an Ordinary Web Camera
 
Hardware Analysis of Resonant Frequency Converter Using Isolated Circuits And...
Hardware Analysis of Resonant Frequency Converter Using Isolated Circuits And...Hardware Analysis of Resonant Frequency Converter Using Isolated Circuits And...
Hardware Analysis of Resonant Frequency Converter Using Isolated Circuits And...
 
Simulated Analysis of Resonant Frequency Converter Using Different Tank Circu...
Simulated Analysis of Resonant Frequency Converter Using Different Tank Circu...Simulated Analysis of Resonant Frequency Converter Using Different Tank Circu...
Simulated Analysis of Resonant Frequency Converter Using Different Tank Circu...
 
Moon-bounce: A Boon for VHF Dxing
Moon-bounce: A Boon for VHF DxingMoon-bounce: A Boon for VHF Dxing
Moon-bounce: A Boon for VHF Dxing
 
“MS-Extractor: An Innovative Approach to Extract Microsatellites on „Y‟ Chrom...
“MS-Extractor: An Innovative Approach to Extract Microsatellites on „Y‟ Chrom...“MS-Extractor: An Innovative Approach to Extract Microsatellites on „Y‟ Chrom...
“MS-Extractor: An Innovative Approach to Extract Microsatellites on „Y‟ Chrom...
 
Importance of Measurements in Smart Grid
Importance of Measurements in Smart GridImportance of Measurements in Smart Grid
Importance of Measurements in Smart Grid
 
Study of Macro level Properties of SCC using GGBS and Lime stone powder
Study of Macro level Properties of SCC using GGBS and Lime stone powderStudy of Macro level Properties of SCC using GGBS and Lime stone powder
Study of Macro level Properties of SCC using GGBS and Lime stone powder
 

Kürzlich hochgeladen

Designing A Time bound resource download URL
Designing A Time bound resource download URLDesigning A Time bound resource download URL
Designing A Time bound resource download URLRuncy Oommen
 
Digital magic. A small project for controlling smart light bulbs.
Digital magic. A small project for controlling smart light bulbs.Digital magic. A small project for controlling smart light bulbs.
Digital magic. A small project for controlling smart light bulbs.francesco barbera
 
Introduction to Matsuo Laboratory (ENG).pptx
Introduction to Matsuo Laboratory (ENG).pptxIntroduction to Matsuo Laboratory (ENG).pptx
Introduction to Matsuo Laboratory (ENG).pptxMatsuo Lab
 
COMPUTER 10: Lesson 7 - File Storage and Online Collaboration
COMPUTER 10: Lesson 7 - File Storage and Online CollaborationCOMPUTER 10: Lesson 7 - File Storage and Online Collaboration
COMPUTER 10: Lesson 7 - File Storage and Online Collaborationbruanjhuli
 
Babel Compiler - Transforming JavaScript for All Browsers.pptx
Babel Compiler - Transforming JavaScript for All Browsers.pptxBabel Compiler - Transforming JavaScript for All Browsers.pptx
Babel Compiler - Transforming JavaScript for All Browsers.pptxYounusS2
 
Basic Building Blocks of Internet of Things.
Basic Building Blocks of Internet of Things.Basic Building Blocks of Internet of Things.
Basic Building Blocks of Internet of Things.YounusS2
 
How to Effectively Monitor SD-WAN and SASE Environments with ThousandEyes
How to Effectively Monitor SD-WAN and SASE Environments with ThousandEyesHow to Effectively Monitor SD-WAN and SASE Environments with ThousandEyes
How to Effectively Monitor SD-WAN and SASE Environments with ThousandEyesThousandEyes
 
Meet the new FSP 3000 M-Flex800™
Meet the new FSP 3000 M-Flex800™Meet the new FSP 3000 M-Flex800™
Meet the new FSP 3000 M-Flex800™Adtran
 
OpenShift Commons Paris - Choose Your Own Observability Adventure
OpenShift Commons Paris - Choose Your Own Observability AdventureOpenShift Commons Paris - Choose Your Own Observability Adventure
OpenShift Commons Paris - Choose Your Own Observability AdventureEric D. Schabell
 
Empowering Africa's Next Generation: The AI Leadership Blueprint
Empowering Africa's Next Generation: The AI Leadership BlueprintEmpowering Africa's Next Generation: The AI Leadership Blueprint
Empowering Africa's Next Generation: The AI Leadership BlueprintMahmoud Rabie
 
9 Steps For Building Winning Founding Team
9 Steps For Building Winning Founding Team9 Steps For Building Winning Founding Team
9 Steps For Building Winning Founding TeamAdam Moalla
 
Artificial Intelligence & SEO Trends for 2024
Artificial Intelligence & SEO Trends for 2024Artificial Intelligence & SEO Trends for 2024
Artificial Intelligence & SEO Trends for 2024D Cloud Solutions
 
Crea il tuo assistente AI con lo Stregatto (open source python framework)
Crea il tuo assistente AI con lo Stregatto (open source python framework)Crea il tuo assistente AI con lo Stregatto (open source python framework)
Crea il tuo assistente AI con lo Stregatto (open source python framework)Commit University
 
UiPath Studio Web workshop series - Day 6
UiPath Studio Web workshop series - Day 6UiPath Studio Web workshop series - Day 6
UiPath Studio Web workshop series - Day 6DianaGray10
 
Comparing Sidecar-less Service Mesh from Cilium and Istio
Comparing Sidecar-less Service Mesh from Cilium and IstioComparing Sidecar-less Service Mesh from Cilium and Istio
Comparing Sidecar-less Service Mesh from Cilium and IstioChristian Posta
 
20200723_insight_release_plan_v6.pdf20200723_insight_release_plan_v6.pdf
20200723_insight_release_plan_v6.pdf20200723_insight_release_plan_v6.pdf20200723_insight_release_plan_v6.pdf20200723_insight_release_plan_v6.pdf
20200723_insight_release_plan_v6.pdf20200723_insight_release_plan_v6.pdfJamie (Taka) Wang
 
Secure your environment with UiPath and CyberArk technologies - Session 1
Secure your environment with UiPath and CyberArk technologies - Session 1Secure your environment with UiPath and CyberArk technologies - Session 1
Secure your environment with UiPath and CyberArk technologies - Session 1DianaGray10
 
Connector Corner: Extending LLM automation use cases with UiPath GenAI connec...
Connector Corner: Extending LLM automation use cases with UiPath GenAI connec...Connector Corner: Extending LLM automation use cases with UiPath GenAI connec...
Connector Corner: Extending LLM automation use cases with UiPath GenAI connec...DianaGray10
 
NIST Cybersecurity Framework (CSF) 2.0 Workshop
NIST Cybersecurity Framework (CSF) 2.0 WorkshopNIST Cybersecurity Framework (CSF) 2.0 Workshop
NIST Cybersecurity Framework (CSF) 2.0 WorkshopBachir Benyammi
 
Machine Learning Model Validation (Aijun Zhang 2024).pdf
Machine Learning Model Validation (Aijun Zhang 2024).pdfMachine Learning Model Validation (Aijun Zhang 2024).pdf
Machine Learning Model Validation (Aijun Zhang 2024).pdfAijun Zhang
 

Kürzlich hochgeladen (20)

Designing A Time bound resource download URL
Designing A Time bound resource download URLDesigning A Time bound resource download URL
Designing A Time bound resource download URL
 
Digital magic. A small project for controlling smart light bulbs.
Digital magic. A small project for controlling smart light bulbs.Digital magic. A small project for controlling smart light bulbs.
Digital magic. A small project for controlling smart light bulbs.
 
Introduction to Matsuo Laboratory (ENG).pptx
Introduction to Matsuo Laboratory (ENG).pptxIntroduction to Matsuo Laboratory (ENG).pptx
Introduction to Matsuo Laboratory (ENG).pptx
 
COMPUTER 10: Lesson 7 - File Storage and Online Collaboration
COMPUTER 10: Lesson 7 - File Storage and Online CollaborationCOMPUTER 10: Lesson 7 - File Storage and Online Collaboration
COMPUTER 10: Lesson 7 - File Storage and Online Collaboration
 
Babel Compiler - Transforming JavaScript for All Browsers.pptx
Babel Compiler - Transforming JavaScript for All Browsers.pptxBabel Compiler - Transforming JavaScript for All Browsers.pptx
Babel Compiler - Transforming JavaScript for All Browsers.pptx
 
Basic Building Blocks of Internet of Things.
Basic Building Blocks of Internet of Things.Basic Building Blocks of Internet of Things.
Basic Building Blocks of Internet of Things.
 
How to Effectively Monitor SD-WAN and SASE Environments with ThousandEyes
How to Effectively Monitor SD-WAN and SASE Environments with ThousandEyesHow to Effectively Monitor SD-WAN and SASE Environments with ThousandEyes
How to Effectively Monitor SD-WAN and SASE Environments with ThousandEyes
 
Meet the new FSP 3000 M-Flex800™
Meet the new FSP 3000 M-Flex800™Meet the new FSP 3000 M-Flex800™
Meet the new FSP 3000 M-Flex800™
 
OpenShift Commons Paris - Choose Your Own Observability Adventure
OpenShift Commons Paris - Choose Your Own Observability AdventureOpenShift Commons Paris - Choose Your Own Observability Adventure
OpenShift Commons Paris - Choose Your Own Observability Adventure
 
Empowering Africa's Next Generation: The AI Leadership Blueprint
Empowering Africa's Next Generation: The AI Leadership BlueprintEmpowering Africa's Next Generation: The AI Leadership Blueprint
Empowering Africa's Next Generation: The AI Leadership Blueprint
 
9 Steps For Building Winning Founding Team
9 Steps For Building Winning Founding Team9 Steps For Building Winning Founding Team
9 Steps For Building Winning Founding Team
 
Artificial Intelligence & SEO Trends for 2024
Artificial Intelligence & SEO Trends for 2024Artificial Intelligence & SEO Trends for 2024
Artificial Intelligence & SEO Trends for 2024
 
Crea il tuo assistente AI con lo Stregatto (open source python framework)
Crea il tuo assistente AI con lo Stregatto (open source python framework)Crea il tuo assistente AI con lo Stregatto (open source python framework)
Crea il tuo assistente AI con lo Stregatto (open source python framework)
 
UiPath Studio Web workshop series - Day 6
UiPath Studio Web workshop series - Day 6UiPath Studio Web workshop series - Day 6
UiPath Studio Web workshop series - Day 6
 
Comparing Sidecar-less Service Mesh from Cilium and Istio
Comparing Sidecar-less Service Mesh from Cilium and IstioComparing Sidecar-less Service Mesh from Cilium and Istio
Comparing Sidecar-less Service Mesh from Cilium and Istio
 
20200723_insight_release_plan_v6.pdf20200723_insight_release_plan_v6.pdf
20200723_insight_release_plan_v6.pdf20200723_insight_release_plan_v6.pdf20200723_insight_release_plan_v6.pdf20200723_insight_release_plan_v6.pdf
20200723_insight_release_plan_v6.pdf20200723_insight_release_plan_v6.pdf
 
Secure your environment with UiPath and CyberArk technologies - Session 1
Secure your environment with UiPath and CyberArk technologies - Session 1Secure your environment with UiPath and CyberArk technologies - Session 1
Secure your environment with UiPath and CyberArk technologies - Session 1
 
Connector Corner: Extending LLM automation use cases with UiPath GenAI connec...
Connector Corner: Extending LLM automation use cases with UiPath GenAI connec...Connector Corner: Extending LLM automation use cases with UiPath GenAI connec...
Connector Corner: Extending LLM automation use cases with UiPath GenAI connec...
 
NIST Cybersecurity Framework (CSF) 2.0 Workshop
NIST Cybersecurity Framework (CSF) 2.0 WorkshopNIST Cybersecurity Framework (CSF) 2.0 Workshop
NIST Cybersecurity Framework (CSF) 2.0 Workshop
 
Machine Learning Model Validation (Aijun Zhang 2024).pdf
Machine Learning Model Validation (Aijun Zhang 2024).pdfMachine Learning Model Validation (Aijun Zhang 2024).pdf
Machine Learning Model Validation (Aijun Zhang 2024).pdf
 

International Journal of Engineering Research and Development (IJERD)

  • 1. International Journal of Engineering Research and Development e-ISSN: 2278-067X, p-ISSN: 2278-800X, www.ijerd.com Volume 8, Issue 8 (September 2013), PP. 26-32 www.ijerd.com 26 | Page Design and Implementation of High Speed Low Power Viterbi Decoder BaburaoKodavati1 , Subhrajeeth Pradhan2 , G.Naveen Kumar3 , Srikanth patnaiak4 1, 3, 4 Asst.Prof,Giet,Gunupur,Rayagada,Odisha-765022. 2 Hod Of Ece Department,Giet, Gunupur, Rayagada, Odisha-765022. Abstract:- Convolution encoding with Viterbi decoding is a powerful method for error checking. It has been widely deployed in many wireless communication systems to improve the limited capacity of the communication channels. The Viterbi algorithm, which is the most extensively employed decoding algorithm for convolution codes. In this paper, we present an implementation of Viterbi Decoder for code rate of ½ and for constraint length of 9 which is employed in present technologies. The Viterbi algorithm is commonly used in a wide range of communications and data storage applications.Thispaper discusses about architecture for a Viterbi Decoder using VLSI design techniques at circuit level. The Viterbi decoder comprises of BMU, PMU and a SMU. Communication within the decoder blocks is controlled by the Request-Acknowledge handshake pair which signals that data is ready for process. The design of various units of Viterbi Decoder is done by VERILOG HDL language. The simulation results show the decoder output of the encoded bits which are nothing but the bits which we are applied to conventional encoder. I. INTRODUCTION Convolution coding has been used in communication systems including deep space communications and wireless communications. It offers an alternative to block codes for transmission over a noisy channel. An advantage of convolution coding is that it can be applied to a continuous data stream as well as to blocks of data. IS-95, a wireless digital cellular standard for CDMA (code division multiple access), employs convolution coding. A third generation wireless cellular standard, under preparation, plans to adopt turbo coding, which stems from convolution coding. The Viterbi decoding algorithm, proposed in 1967 by Viterbi, is a decoding process for convolution codes in memory-less noise [52]. The algorithm can be applied to a host of problems encountered in the design of communication systems [52]. The Viterbi decoding algorithm provides both a maximum-likelihood and a maximum a posterior algorithm. A maximum a posterior algorithm identifies a code word that maximizes the conditional probability of the decoded code word against the received code word, in contrast a maximum likelihood algorithm identifies a code word that maximizes the conditional probability of the received code word against the decoded code word. The two algorithms give the same results when the source information has a uniform distribution. Traditionally, performance and silicon area are the two most important concerns in VLSI design. Recently, power dissipation has also become an important concern, especially in battery- powered applications, such as cellular phones, pagers and laptop computers. Power dissipation can be classified into two categories, static power dissipation and dynamic power dissipation Typically, static power dissipation is due to various leakage currents, while dynamic power dissipation is a result of charging and discharging the parasitic capacitance of transistors andwires. Since the dynamic power dissipation accounts for about 80 to 90 percent of overall power dissipation in CMOS circuits; numerous techniques have been proposed to reduce dynamic power dissipation. These techniques can be applied at different levels of digital design, such as the algorithmic level, the architectural level, the gate level and, the circuit level. A viterbi decoder uses the Viterbi algorithm for decoding a bit stream that has been encoded using Forward error correction based on a Convolution code. The Viterbi algorithm is commonly used in a wide range of communications and data storage applications. It is used for decoding convolution codes, in baseband detection for wireless systems, and also for detection of recorded data in magnetic disk drives. The requirements for the Viterbi decoder or Viterbi detector, which is a processor that implements the Viterbi algorithm, depend on the applications where they are used. This results in very wide range of required data throughputs and power or area requirements. Viterbi detectors are used in cellular telephones with low data rates, of the order below 1Mb/s but with very low energy dissipation requirement. They are used for trellis code demodulation in telephone line modems, where the throughput is in the range of tens of kb/s, with restrictive limits in power dissipation and the area/cost of the chip. On the opposite end, very high speed Viterbi detectors are used in magnetic disk drive read channels, with throughputs over 600Mb/s. But at these high speeds, area and power are still limited.
  • 2. Design and Implementation of High Speed Low Power Viterbi Decoder www.ijerd.com 27 | Page Convolutional coding has been used in communication systems including deep space communications and wireless communications. It offers an alternative to block codes for transmission over a noisy channel. An advantage of convolutional coding is that it can be applied to a continuous data stream as well as to blocks of data. IS-95, a wireless digital cellular standard for CDMA (code division multiple access), employs convolutional coding. II. ARCHITECTURE OF VITERBI DECODER FIG-2.1 Architecture of the VITERBI DECODER In the viterbi decoding approach the trace-back (TB) and the register-exchange (RE) methods are the two major techniques used for the path history management in the chip designs of Viterbi decoders. The TB method takes up less area but requires much more time as compared to RE method because it needs to search or trace the survivor path back sequentially. But the major disadvantage of the RE approach is that its routing cost is very high especially in the case of long-constraint lengths and it requires much more resources. 2.2 Branch Metric Computation (BMC) For each state, the Hamming distance between the received bits and the expected bits is calculated. Hamming distance between two symbols of the same length is calculated as the number of bits that are different between them. These branch metric values are passed to Block 2. If soft decision inputs were to be used, branch metric would be calculated as the squared Euclidean distance between the received symbols [21]. The squared Euclidean distance is given as (a1-b1)2 + (a2-b2)2 + (a3-b3)2 where a1, a2, a3 and b1, b2, b3 are the three soft decision bits of the received and expected bits respectively. value Meaning 000 strongest 0 001 relatively strong 0 010 relatively weak 0 011 weakest 0 100 weakest 1 101 relatively weak 1 110 relatively strong 1 111 strongest 1 FIG-2.2 A sample implementation of a branch metric unit 2.3 Path Metric Computation and Add-Compare-Select (ACS) Unit The path metric or error probability for each transition state at a particular time instant is measured as the sum of the path metric for its preceding state and the branch metric between the previous state and the present state. The initial path metric at the first time instant is infinity for all states except state 0. For each state, there are two possible predecessors. The mechanism of calculating the predecessors (and successors) The path
  • 3. Design and Implementation of High Speed Low Power Viterbi Decoder www.ijerd.com 28 | Page metrics from both these predecessors are compared and the one with the smallest path metric is selected. This is the most probable transition that occurred in the original message. In addition, a single bit is also stored for each state which specifies whether the lower or upper predecessor was selected. Fig-2.3 A sample implementation of the path metric unit In cases where both paths result in the same path metric to the state, either the higher or lower state may consistently be chosen as the surviving predecessor. For the purpose of this paper the higher state is consistently chosen as the surviving predecessor. Finally, the state with the least accumulated path metric at the current time instant is located. This state is called the global winner and is the state from which traceback operation will begin. This method of starting the trace back operation from the global winner instead of an arbitrary state was described by Linda Bracken bury [22] in her design of an asynchronous Viterbi decoder. This greatly improves probability of finding the correct traceback path quicker and hence reduces the amount of history information that needs to be maintained. It also reduces the number of updates required to the surviving path. Both these measures result in improved energy savings. The values for the surviving predecessors (also called local winners) and the global winner are passed to Block 3. Fig -2.4 A sample implementation of an ACS unit 2.4 Traceback Unit The global winner for the current state is received from Block 2. Its predecessor is selected in the manner . In this way, working backwards through the trellis, the path with the minimum accumulated path metric is selected. This path is known as the traceback path. A diagrammatic description will help visualize this process.the trellis diagram for a ½ K=3 (7, 5) coder with sample input taken as the received data. Fig -2.5 Selected minimum error path for a1/2 k=3(7,5) decoder
  • 4. Design and Implementation of High Speed Low Power Viterbi Decoder www.ijerd.com 29 | Page The state having minimum accumulated error at the last time instant is State 10 and traceback is started here. Moving backwards through the trellis, the minimum error path out of the two possible predecessors from that state is selected. This path is marked in blue. The actual received data is described at the bottom while the expected data written in blue along the selected path. It is observed that at time slot three there was an error in received data (11). This was corrected to (10) by the decoder. Fig-2.6 Schematic representation of the viterbi decoder Local winner information must be stored for five times the constraint length. For a K =7 decoder, this results in storing history for 7 x 5 = 35 time slots. The state of the decoder at the time instant 35 time slots prior can then be accurately determined. This state value is passed to Block 4. At the next time slot, all the trellis values are shifted left to the previous time slot. The path metric for the last received data and compute the minimum error path is then calculated. If the global winner at this stage is not a child of the previous global winner, the traceback path has to be updated accordingly until the traceback state is a child of the previous state. Fig-2.7 Block diagram of the Trace Back unit Multiple traceback paths are possible and it may be thought that traceback up to the first bit is necessary to correctly determine the surviving path. However, it was found that all possible paths converge within a certain distance or depth of trace back. This information is useful as it allows the setting of a certain trace back depth beyond which it is neither necessary nor advantageous to store path metric and other information. This greatly reduces memory storage requirements and hence energy consumption of the decoder. Empirical observations showed that a depth of five times the constraint length was sufficient to ensure merging of paths . Therefore, local winner information is stored for 35 slots (five times seven) in the decoder used for this paper. Block 4. Data Input Determination Now going forwards through the traceback path, the state transitions at successive time intervals are studies and the data bit that would have caused this transition is determined. This represents the decoded output. Determining Successors to a particular State Each state is represented by 6 shift registers (in the case of a K=7 encoder or decoder). The next state can therefore be obtained by a right shift of the values of the shift registers. The first shift register is given a value of 0. The resulting state represents the next state of the coder if the input bit was 0. By adding 32 (1x25) to this value, the next state of the coder if the input bit was 1 Determining Predecessors to a particular State In a similar way, the first predecessor can be calculated this time by a left shift of the values of the shift registers. By adding one (1x20) to this value, the value of the second predecessor to the state is derived. 2.5 State Metric Storage: The block stores the partial path metric of each state at the current stage.
  • 5. Design and Implementation of High Speed Low Power Viterbi Decoder www.ijerd.com 30 | Page 2.6 Output Generator: This block generates the decoded output sequence. In the traceback approach, the block incorporates combinational logic, which traces back along the survivor path and latches the path (equivalently the decoded output sequence) to a register. 2.7 Encoding Mechanism Data is coded by using a convolutional encoder. It consists of a series of shift registers and an associated combinatorial logic. The combinatorial logic is usually a series of exclusive-or gates. The conventional encoder ½ K=7, (171,133) is used for the purpose of this paper. The octal numbers 171 and 133 when represented in binary form correspond to the connection of the shift registers to the upper and lower exclusive-or gates respectively. Figure 3.1 represents this convolutional encoder that will be used for the paper. 2.8 Decoding Mechanism There are two main mechanisms by which Viterbi decoding may be carried out namely, the Register Exchange mechanism and the Traceback mechanism. Register exchange mechanisms, as explained by Ranpara and Sam Ha store the partially decoded output sequence along the path. The advantage of this approach is that it eliminates the need for traceback and hence reduces latency. However at each stage, the contents of each register needs to be copied to the next stage. This makes the hardware complex and more energy consuming than the traceback mechanism. Traceback mechanisms use a single bit to indicate whether the survivor branch came from the upper or lower path. This information is used to traceback the surviving path from the final state to the initial state. This path can then be used to obtain the decoded sequence. Traceback mechanisms prove to be less energy consuming and will hence be the approach followed in this paper. Decoding may be done using either hard decision inputs or soft decision inputs. Inputs that arrive at the receiver may not be exactly zero or one. Having been affected by noise, they will have values in between and even higher or lower than zero and one. The values may also be complex in nature. In the hard decision Viterbi decoder, each input that arrives at the receiver is converted into a binary value (either 0 or 1). In the soft decision Viterbi decoder, several levels are created and the arriving input is categorized into a level that is closest to its value. If the possible values are split into 8 decision levels, these levels may be represented by 3 bits and this is known as a 3 bit Soft decision. This paper uses a hard decision Viterbi decoder for the purpose of developing and verifying the new energy saving algorithm. Once the algorithm is verified, a soft decision Viterbi decoder may be used in place of the hard decision decoder. Figure 3.2 shows the various stages required to decode data using the Viterbi Algorithm. The decoding mechanism comprises of three major stages namely the Branch Metric Computation Unit, the Path Metric Computation and Add-Compare-Select (ACS) Unit and the Traceback Unit. 3.Main Objectives: The main objectives of this paper are as follows 1. An understanding of the background literature relevant to error detection and error control mechanisms as currently used in packetized digital communication networks. 2. A detailed understanding of the concept of convolutional coding, and decoding using the Viterbi algorithm. 3. An implementation of the Viterbi algorithm in verilog so it is working correctly by comparing its performance with that of the Viterbi decoder function provided by verilog (A designed Viterbi decoder is needed because verilog does not provide access to the code. 4. A resolution of questions that still need to be answered about the T- algorithm including the correct initialization of component decoders and the stability of the feedback mechanism 5. An implementation in verilog of the T- algorithm as a modification of the Viterbi algorithm. 6. An evaluation of the T- algorithm in terms of its accuracy and capacity for achieving energy saving Timing Analysis will be performed on the basis of bit-error performance, packet loss rates and execution time (considered to provide a first order approximation to energy consumption). III. RESULTS Fig-4.1 Top Module of VITERBI DECODER
  • 6. Design and Implementation of High Speed Low Power Viterbi Decoder www.ijerd.com 31 | Page In viterbi decoder it has 4 modules BMU,PMU,ACSU,SMU where the input is taken by the BMU and output arrives at SMU Fig-4.2Control Unit SCHEMATIC DIAGRAM Fig-4.3 TRACEBACK UNIT BLOCK DIAGRAM In trace back unit the input will be taken from the add compare select unit and again it will trace back to the path metric whether it is the shortest path are not it calculate and it will send to the survivor metric unit Fig-4.4 TRACEBACK UNIT WAVEFORM Reset-input;Clock1-input;Clock2-input;Lnit-input;Hold-input;Data_TB-output IV. CONCLUSION We have proposed a high speed low power VD design for TCM systems.theprecomputation architecture that incorporates T-algorithm efficiently reduces the power consumption of VDs without reducing the decoding speed appreciably. we have also analysed precomputation algorithm .where the optimal precomputation steps are calculated and dicussed.this algorithm is suitable for TCMsystems which always employ high rate convolutional code.finally we presented a design case.Both the ACSU and SMU are modified to correctly to decode the signal FPGA and power estimation results show that ,compared with the full trellis VD without a low power scheme,theprecomputation VD could reduce the power consumption by 70% with only 11% reduction of the maximum decoding speed. By using FPGA device and hybrid microprocessor the decoding benefits can be achieved in future. In future to improve the decoder performance the Viterbi algorithm is carried out in reconfigurable hardware. power saving architecture can be designed for the above decoder which is executable in the mobile devices. Viterbi decoder can also be implemented using verilog. Therefore in the future Viterbi algorithm may be used for various scenarios. So in the future the complexity can be greatly reduced. By using FPGA device
  • 7. Design and Implementation of High Speed Low Power Viterbi Decoder www.ijerd.com 32 | Page and hybrid microprocessor the decoding benefits can be achieved in future. In future to improve the decoder performance the Viterbi algorithm is carried out in reconfigurable hardware. power saving architecture can be designed for the above decoder which is executable in the mobile devices. Viterbi decoder can also be implemented using verilog. Therefore in the future Viterbi algorithm may be used for various scenarios. So in the future the complexity can be greatly reduced. REFERENCES [1]. The “20 Mbps convolutional encoder Viterbi decoder STEL-2020,”Stanford Telecommunications, Santa Clara, CA, Oct. 1989. [2]. A.V. Aho, J.E. Hopcroft, and J.D. Ullman, The Design and Analysis of computer Algorithms Reading, MA Addison-Wesley, 1974. [3]. ATSC Standard a/53(1995) “ATSC digital television standard”, 1995. [4]. P.J. Black and T.H.Meng, “A hardware efficient parallel Viterbi algorithm,” in ICASSP , vol.2, pp. 893-896, 1990. [5]. P.J. Black and T.H.Meng, “ A 140-Mb/s, 32-state, radix-4 Viterbi decoder,” IEEE journal of Solid-state Circuits, vol. 27, pp. 1877-1885, Dec. 1992. [6]. P.J. Black and T.H. Meng,” A unified approach to the Viterbi algorithm state metric update for shift register processes,” in Proc. ICASSP, vol.5, pp. 629-632, Mar. 1992. [7]. S. Benedetto, G. Montorsi, “Unveiling Turbo codes: Some results on parallel concatenated coding schemes,” IEEE Transactions on Information Theory, Vol. 42 No. 2, p. 409-428, March 1996. [8]. A. Chandrakasan, S. Sheng, and R.W. Brodersen, “Low-power CMOS digital design,” IEEE Journal of Solid-State Circuits, vol. 27, pp. 473-484, Apr. 1992. [9]. R. Cypher and C.B. Shung, “Generalized traceback techniques for survivor memory management in the Viterbi algorithm,” in Proc. GLOBECOM, pp. 1318-1322, Dec. 1990. [10]. J.E. Dunn,”A 50Mb/s multiplexed coding system for shuttle communications,” IEEE trans. Commun., vol. COM-26, pp. 1636-1638, Nov. 1978. [11]. G Fettweis and H, Meyr, “High-speed parallel Viterbi decoding algorithm and VLSI- architecture,” IEEE communication Magazine, vol. 29, pp. 46-55, May 1991. [12]. G. Fettweis, H. Meyr, “A 100 Mbits/s Viterbi decoder chip: Novel architecture and its realization,” IEEE ICC’90, 307.4, 463-467, April 1990. [13]. G. Fettweis, H. Meyr, “A modular variable speed Viterbi decoding implementation for high data rates,” North-Holland: Signal Processing IV, Proc. EUSIPCO’88, 339-342, 1988. [14]. G. Fettweis, H. Meyr,”A systeolic array Viterbi processor for high data rates,” Int. Conf. On Systolic Arrays, Ireland, 1989, Prentice Hall: „Systolic Array Processors‟, 195-204,1989.