SlideShare a Scribd company logo
1 of 5
Download to read offline
M.Prasanna Jyothi et al. Int. Journal of Engineering Research and Application www.ijera.com
Vol. 3, Issue 5, Sep-Oct 2013, pp.83-87
www.ijera.com 83 | P a g e
Design of Aware Flip-Flop with Low Power Variations by P-Spice
M.Prasanna Jyothi1
& Dr.M.V. Subramanyam2
1
(Dept Of Electronics And Communication Engineering, Santhiram Engineering Colege, Nandyal)
2
(Dept Of Electronics And Communication Engineering, Santhiram Engineering Colege, Nandyal)
ABSTRACT
Excessive power dissipation causes overheating, which can lead multiple impacts like, packaging cost,
reliability & functionality of IC and other soft errors. This naturally limits battery life of hand held equipments
and need urgent solution to enable the proliferation of technology at large. Parameter variations in nanometer
process technology are one of the major design challenges. They cause to be increased delay on the critical path
and to change the logic level of internal nodes. The basic concept to solve these problems at the circuit level,
design-for-variability (DFV), is to add error handling circuits at the conventional circuits so that they are robust
to nanometer related variations. The state-of-the-art variation-aware flip flops are mainly evolved from
aggressive DVFS (dynamic voltage and frequency scaling) -based low power application systems which handle
errors caused from the scaled supply voltage. They only detect the timing errors and cannot correct the errors.
We propose a variation–aware flip flop which can detect and correct the timing error efficiently. The
experimental results show that the proposed variation aware flip flop is more robust and lower power than the
existing approaches.
I. Introduction
In order to increase the performance of
digital integrated circuits, very aggressive scaling
technology has been developed. According to ITRS
roadmap , MPU physical gate length is expected to
be 22nm in 2012. Due to the parameter variations and
increased sensitivity to radioactive particles, it is very
difficult to keep increasing the performance of
nanometer process technology ruled by Moore’s law.
Compared to the micrometer ICs, nanometer ICs
require smaller charge to maintain a logic level at the
internal nodes. Thus, they are more susceptible to
variations and external radioactive particles even at
sea level. The sources of the variations are PVT
(process (threshold, distortion of layout patterns
during lithography), voltage (IR drop), and
temperature). They also suffer from unreliability and
aging problems (time-dependent variations) such as
NBTI.
It’s no secret that power is emerging as the
most critical issue in system-on-chip (SoC) design
today. Power management is becoming an
increasingly urgent problem for almost every
category of design, as power density—measured in
watts per square millimeter—rises at an alarming
rate.
In the last few years, design for low power
has started to change again how designers approach
complex SoC designs. Each of these revolutions has
been a response to the challenges posed by evolving
semiconductor technology. The exponential increase
in chip density drove the adoption of language-based
design and synthesis, providing a dramatic increase
in designer productivity. This approach held Moore’s
law at bay for a decade or so, but in the era of million
gate designs, engineers discovered that there was a
limit to how much new RTL could be written for a
new chip
project. The result was that IP and design reuse
became accepted as the only practical way to design
large chips with relatively small design teams. Today
every SoC design employs substantial IP in order to
take advantage of the ever increasing density offered
by sub-micron technology.
Deep submicron technology, from 130nm
on, poses a new set of design problems. We can now
implement tens of millions of gates on a reasonably
small die, leading to a power density and total power
dissipation that is at the limits of what packaging,
cooling, and other infrastructure can support. As
technology has shrunk to 90nm and below, the
leakage current is increasing dramatically, to the
point where, in some 65nm designs, leakage current
is nearly as large as dynamic current. These changes
are having a significant effect on how chips are
designed. The power density of the highest
performance chips has grown to the point where it is
no longer possible to increase clock speed as
technology shrinks. The voltage variation, threshold
variation and performance variation of ICs are
expected to be 10%, 40%, and 60% in 2012,
respectively.
RESEARCH ARTICLE OPEN ACCESS
M.Prasanna Jyothi et al. Int. Journal of Engineering Research and Application www.ijera.com
Vol. 3, Issue 5, Sep-Oct 2013, pp.83-87
www.ijera.com 84 | P a g e
II. Existing system
2.1 Clock tree optimization and clock gating
In normal operation, the clock signal
continues to toggle at every clock cycle, whether or
not its registers are changing. Clock trees are a large
source of dynamic power because they switch at the
maximum rate and typically have larger capacitive
loads. If data is loaded into registers only
infrequently, a significant amount of power is wasted.
By shutting off blocks that are not required to be
active, clock gating ensures power is not dissipated
during the idle time. Clock gating can occur at the
leaf level (at the register) or higher up in the clock
tree. When clock gating is done at the block level, the
entire clock tree for the block can be disabled. The
resulting reduction in clock network switching
becomes extremely valuable in reducing dynamic
power.
2.2- Operand Isolation
Often, data path computation elements are
sampled only periodically. This sampling is
controlled by an enable signal. When the enable is
inactive, the data path inputs can be forced to a
constant value. The result is that the data path will
not switch, saving dynamic power.
2.3 Multi-Vth
Multi-Vth optimization utilizes gates with
different thresholds to optimize for power, timing,
and area constraints. Most library vendors provide
libraries that have cells with different switching
thresholds. A good synthesis tool for low-power
applications is able to mix available multi-threshold
library cells to meet speed and area constraints with
the lowest power dissipation. This complex task
optimizes for multiple variables and so is automated
in today’s synthesis tools.
2.4 MSV
Multi-supply voltage techniques operate
different blocks at different voltages. Running at a
lower voltage reduces power consumption, but at the
expense of speed. Designers use different supply
voltages for different parts of the chip based on their
performance requirements. MSV implementation is
key to reducing power since lowering the voltage has
a squared effect on active power consumption.MSV
techniques require level shifters on signals that go
from one voltage level to another. Without level
shifters, signals that cross voltage levels will not be
sampled correctly.
2.5 DVS/DVFS/AVFS
Dynamic voltage and frequency scaling
(DVFS) techniques—along with associated
techniques such as dynamic voltage scaling (DVS)
and adaptive voltage and frequency scaling
(AVFS)—are very effective in reducing power, since
lowering the voltage has a squared effect on active
power consumption. DVFS techniques provide ways
to reduce power consumption of chips on the fly by
scaling down the voltage (and frequency) based on
the targeted performance requirements of the
application.
III. Proposed system
In this project, we propose a low-power
variation-aware FF.Compared to the existing
pproaches, the proposed FF does not require longer
delayed clock and additional errorcorrection
hardware. Also, the error detection and correction
window is extended to the half of the clock period.
The block diagram and the schematic of the proposed
FF are shown in Fig. 1 (a) and Fig. 1 (b),
respectively. The proposed FF consists of the
conventional DFF, a sensor latch, a comparator, and a
2x1 multiplexer. The sensor latch is transparent at the
positive phase of the clock. As shown in Fig. 2, in the
case of timing error of the delayed input signal D, the
proposed FF can detect and correct it.
The design concept for the proposed FF is to
sample the input and the output data of the DFF
simultaneously at the positive phase of the clock. The
proposed sensor FF eliminates the effect on the
intra-die variation on the critical parts of the circuit
using timing redundancy concept. Due to the fact that
it borrows the timing margin from the hold time of
the sequential element, the application system using
the proposed FF can use higher target clock
frequency with more robustness to PVT variations.
M.Prasanna Jyothi et al. Int. Journal of Engineering Research and Application www.ijera.com
Vol. 3, Issue 5, Sep-Oct 2013, pp.83-87
www.ijera.com 85 | P a g e
Due to the fact that it borrows the timing
margin from the hold time of the sequential element,
the application system using the proposed FF can use
higher target clock frequency with more robustness to
PVT variations. The comparator detects timing error
of the input data by comparing the output data of the
FF, which has already been sampled before the setup
time of the FF only when the positive phase of the
clock is activated.
E_F signal is logical high (‘1’) in case of an
error. By connecting the CLKB signal to the
comparator module, the node E_F is deactivated and
the pre-charged to logical low (‘0’) when CLKB is
positive phase. It is possible to compare the value of
the D with the value of the Q before the hold time of
the DFF. In this case, the correct input value can be
recognized as an error by the comparator module. In
order to solve this problem, the additional small sized
delay buffer is inserted between CLKB and D_CLKB
to meet the hold time constraint. Compared to the
existing FF , the proposed FF requires the small sized
buffer, since the intrinsic hold time of the DFF is
nearly equal to the propagation delay of a unit sized
inverter.
3.1 Braun Multiplier
Braun’s multiplier is an n × m bit parallel
multiplier and generally known as carry save
multiplier and is constructed with m × (n-1) addres
and m × n AND gates. The Braun’s multiplier has a
glitching problem which is due to the ripple carry
adder in the last stage of the multiplier.
Mathematical Basis
Consider a generic m by n multiplication of
two unsigned n – bit number y= ym-1….y0 and
x= xn-1…x0
3.2 Propsed Circuit
IV. Simulation Results
M.Prasanna Jyothi et al. Int. Journal of Engineering Research and Application www.ijera.com
Vol. 3, Issue 5, Sep-Oct 2013, pp.83-87
www.ijera.com 86 | P a g e
4.1 Implementation of the proposed aware flipflop by
using PSICE
4.2 Expected simulation results
4.3Total power dissipation
4.4 PoFF Comparision Results
4.5 Average Power Disapption at PoFF Volt
4.6 Simulation in modelsim as below
V. Conclusion
In this paper, we propose an efficient
variation-aw are FF for low power circuit designs.
Compared to the existing approach, the proposed FF
has longer detection window and does not require
large hardware over head for generating the delayed
clock. PoFFs of the digital circuit s using the
proposed FF are lower than those of the digital
M.Prasanna Jyothi et al. Int. Journal of Engineering Research and Application www.ijera.com
Vol. 3, Issue 5, Sep-Oct 2013, pp.83-87
www.ijera.com 87 | P a g e
circuits using the existing FF, since the proposed FF
has longer error detection window. Also, the
proposed system consumes less power dissipation
with smaller number of transistors. Therefore, the
proposed FF can be efficiently applied to low-power
digital system technique. Also, the proposed FF
design using DVFS be used for DFFV-aware circuit
designs.
References
[1] International Technology Roadmap
forrSemiconducctors,
http://www.itrs.nnet/Links/2009IT
RS/Home2009.httm
[2] J. Guido Groesseneken, Robin DDegraeve,
Ben KKaczer and Phillippe Roussel,
"Challeenges in Reliabillity Assessment of
Advanced CMMOS Technologies,"
PProceedings of 144th IPFA 2007, ppp. 1-9.
[3] Shekhar Borkaa, "Designing RReliable
Systemms from Unreliiable Components:
TThe Challengess of Transistoor Variability
and Degradation,"IEEEE Micro maga zine
2005, Noveember-December,, pp. 10-16.
[4] .J.W. McPhersonn, "Reliability Chhallenges
for 45nmm and Beyond," DDAC 2006, pp.
176-1881.
[5] Hisashige Ando , "Microprocessoor
Architecture foor Yield Enhancemment and
Reliable Opperation" Chap 9 oof High-
Performaance Energy-Efficcient
Microprocessor Design 2005 Sprringer
Edited by Vojin G. Oklobddzija and Ram K.
Krisshnamurthy.
[6] V. G. Oklobdzijaa and R. K.
Krishhnamurthy, High--Performance
Eneergy-Efficient Micropprocessor Design,
Dordrecht, The NNetherlands: Spriinger,
2006.
[7] M. Omana, D. Rossi, C. Metraa, "Latch
Susceptibility to TransientFaults and Neew
Hardening Approach," IEEEE Transactions
on computers, vol. 556, pp. 1255-12688,
Sep. 2007. Efficient SEU-Tolerant Laatch
Design for DDeep Sub-Micron
Tecchnologies," in ICCDSN'07, 2007, ppp.
276-285.

More Related Content

What's hot

IRJET- Feed-Forward Neural Network Based Transient Stability Assessment o...
IRJET-  	  Feed-Forward Neural Network Based Transient Stability Assessment o...IRJET-  	  Feed-Forward Neural Network Based Transient Stability Assessment o...
IRJET- Feed-Forward Neural Network Based Transient Stability Assessment o...IRJET Journal
 
Fault detection using iot PRESENTATION
Fault detection using iot PRESENTATIONFault detection using iot PRESENTATION
Fault detection using iot PRESENTATIONAnjanKumarHanumantha
 
Switchgear and protection.
Switchgear and protection.Switchgear and protection.
Switchgear and protection.Surabhi Vasudev
 
Design and simulation of a tunable frequency
Design and simulation of a tunable frequencyDesign and simulation of a tunable frequency
Design and simulation of a tunable frequencyeSAT Publishing House
 
wireless fault protection and detection for dc microgrid
wireless fault protection and detection for dc microgrid wireless fault protection and detection for dc microgrid
wireless fault protection and detection for dc microgrid MAHESH M
 
Design and simulation of a tunable frequency microstrip patch antenna
Design and simulation of a tunable frequency microstrip patch antennaDesign and simulation of a tunable frequency microstrip patch antenna
Design and simulation of a tunable frequency microstrip patch antennaeSAT Journals
 
BOTTLENECK DETECTION ALGORITHM TO ENHANCE LIFETIME OF WSN
BOTTLENECK DETECTION ALGORITHM TO ENHANCE LIFETIME OF WSNBOTTLENECK DETECTION ALGORITHM TO ENHANCE LIFETIME OF WSN
BOTTLENECK DETECTION ALGORITHM TO ENHANCE LIFETIME OF WSNijngnjournal
 
Power System Protection for Utility and Power Professionals
Power System Protection for Utility and Power ProfessionalsPower System Protection for Utility and Power Professionals
Power System Protection for Utility and Power ProfessionalsPowerEDGE
 
A Review of Protection Schemes for Active Distribution Systems
A Review of Protection Schemes for Active Distribution SystemsA Review of Protection Schemes for Active Distribution Systems
A Review of Protection Schemes for Active Distribution SystemsUmair Shahzad
 
Power consumption in smartphone
Power consumption in smartphonePower consumption in smartphone
Power consumption in smartphoneAtif Sajid
 
A Review Paper on Power Consumption Improvements in WSN
A Review Paper on Power Consumption Improvements in WSNA Review Paper on Power Consumption Improvements in WSN
A Review Paper on Power Consumption Improvements in WSNIJERA Editor
 
Adaptability of Distribution Automation System to Electric Power Quality Moni...
Adaptability of Distribution Automation System to Electric Power Quality Moni...Adaptability of Distribution Automation System to Electric Power Quality Moni...
Adaptability of Distribution Automation System to Electric Power Quality Moni...IOSR Journals
 
Energy aware routing for real time
Energy aware routing for real timeEnergy aware routing for real time
Energy aware routing for real timeambitlick
 

What's hot (18)

IRJET- Feed-Forward Neural Network Based Transient Stability Assessment o...
IRJET-  	  Feed-Forward Neural Network Based Transient Stability Assessment o...IRJET-  	  Feed-Forward Neural Network Based Transient Stability Assessment o...
IRJET- Feed-Forward Neural Network Based Transient Stability Assessment o...
 
Microgrid Protection
Microgrid ProtectionMicrogrid Protection
Microgrid Protection
 
Fault detection using iot PRESENTATION
Fault detection using iot PRESENTATIONFault detection using iot PRESENTATION
Fault detection using iot PRESENTATION
 
Switchgear and protection.
Switchgear and protection.Switchgear and protection.
Switchgear and protection.
 
Design and simulation of a tunable frequency
Design and simulation of a tunable frequencyDesign and simulation of a tunable frequency
Design and simulation of a tunable frequency
 
wireless fault protection and detection for dc microgrid
wireless fault protection and detection for dc microgrid wireless fault protection and detection for dc microgrid
wireless fault protection and detection for dc microgrid
 
Design and simulation of a tunable frequency microstrip patch antenna
Design and simulation of a tunable frequency microstrip patch antennaDesign and simulation of a tunable frequency microstrip patch antenna
Design and simulation of a tunable frequency microstrip patch antenna
 
BOTTLENECK DETECTION ALGORITHM TO ENHANCE LIFETIME OF WSN
BOTTLENECK DETECTION ALGORITHM TO ENHANCE LIFETIME OF WSNBOTTLENECK DETECTION ALGORITHM TO ENHANCE LIFETIME OF WSN
BOTTLENECK DETECTION ALGORITHM TO ENHANCE LIFETIME OF WSN
 
Implementation of NN controlled DVR for Enhancing The Power Quality By Mitiga...
Implementation of NN controlled DVR for Enhancing The Power Quality By Mitiga...Implementation of NN controlled DVR for Enhancing The Power Quality By Mitiga...
Implementation of NN controlled DVR for Enhancing The Power Quality By Mitiga...
 
Power System Protection for Utility and Power Professionals
Power System Protection for Utility and Power ProfessionalsPower System Protection for Utility and Power Professionals
Power System Protection for Utility and Power Professionals
 
Adaptive relaying
Adaptive relayingAdaptive relaying
Adaptive relaying
 
A Review of Protection Schemes for Active Distribution Systems
A Review of Protection Schemes for Active Distribution SystemsA Review of Protection Schemes for Active Distribution Systems
A Review of Protection Schemes for Active Distribution Systems
 
M0111397100
M0111397100M0111397100
M0111397100
 
Power consumption in smartphone
Power consumption in smartphonePower consumption in smartphone
Power consumption in smartphone
 
A Review Paper on Power Consumption Improvements in WSN
A Review Paper on Power Consumption Improvements in WSNA Review Paper on Power Consumption Improvements in WSN
A Review Paper on Power Consumption Improvements in WSN
 
Adaptability of Distribution Automation System to Electric Power Quality Moni...
Adaptability of Distribution Automation System to Electric Power Quality Moni...Adaptability of Distribution Automation System to Electric Power Quality Moni...
Adaptability of Distribution Automation System to Electric Power Quality Moni...
 
40220140507004
4022014050700440220140507004
40220140507004
 
Energy aware routing for real time
Energy aware routing for real timeEnergy aware routing for real time
Energy aware routing for real time
 

Viewers also liked (20)

X35129134
X35129134X35129134
X35129134
 
Od3424682473
Od3424682473Od3424682473
Od3424682473
 
Pg3426762678
Pg3426762678Pg3426762678
Pg3426762678
 
Pb3426462649
Pb3426462649Pb3426462649
Pb3426462649
 
Oe3424742482
Oe3424742482Oe3424742482
Oe3424742482
 
Oy3426142619
Oy3426142619Oy3426142619
Oy3426142619
 
Dn32717720
Dn32717720Dn32717720
Dn32717720
 
R3596101
R3596101R3596101
R3596101
 
Gw3113131334
Gw3113131334Gw3113131334
Gw3113131334
 
Gu3112991305
Gu3112991305Gu3112991305
Gu3112991305
 
01_Title page
01_Title page01_Title page
01_Title page
 
Informe del Wiki
Informe del WikiInforme del Wiki
Informe del Wiki
 
Cristopher Vimos
Cristopher VimosCristopher Vimos
Cristopher Vimos
 
Waukegan west 1984
Waukegan west 1984Waukegan west 1984
Waukegan west 1984
 
Sistema nervioso
Sistema nerviosoSistema nervioso
Sistema nervioso
 
Alguns de nossos momentos felizes!
Alguns de nossos momentos felizes!Alguns de nossos momentos felizes!
Alguns de nossos momentos felizes!
 
Kellogg on Branding
Kellogg on BrandingKellogg on Branding
Kellogg on Branding
 
Els ésser vius ( complet)
Els ésser vius ( complet)Els ésser vius ( complet)
Els ésser vius ( complet)
 
Generaciones web
Generaciones webGeneraciones web
Generaciones web
 
GUERNICA
GUERNICAGUERNICA
GUERNICA
 

Similar to P358387

Design and Analysis of Sequential Circuit for Leakage Power Reduction using S...
Design and Analysis of Sequential Circuit for Leakage Power Reduction using S...Design and Analysis of Sequential Circuit for Leakage Power Reduction using S...
Design and Analysis of Sequential Circuit for Leakage Power Reduction using S...ijsrd.com
 
Extremely Low Power FIR Filter for a Smart Dust Sensor Module
Extremely Low Power FIR Filter for a Smart Dust Sensor ModuleExtremely Low Power FIR Filter for a Smart Dust Sensor Module
Extremely Low Power FIR Filter for a Smart Dust Sensor ModuleCSCJournals
 
Optimized Design of an Alu Block Using Power Gating Technique
Optimized Design of an Alu Block Using Power Gating TechniqueOptimized Design of an Alu Block Using Power Gating Technique
Optimized Design of an Alu Block Using Power Gating TechniqueIJERA Editor
 
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...VLSICS Design
 
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...VLSICS Design
 
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...VLSICS Design
 
IRJET- Reliability Enhancement of Low-Power Sequential Circuits using Power G...
IRJET- Reliability Enhancement of Low-Power Sequential Circuits using Power G...IRJET- Reliability Enhancement of Low-Power Sequential Circuits using Power G...
IRJET- Reliability Enhancement of Low-Power Sequential Circuits using Power G...IRJET Journal
 
IRJET- An Evaluation of the Performance Parameters of CMOS and CNTFET based D...
IRJET- An Evaluation of the Performance Parameters of CMOS and CNTFET based D...IRJET- An Evaluation of the Performance Parameters of CMOS and CNTFET based D...
IRJET- An Evaluation of the Performance Parameters of CMOS and CNTFET based D...IRJET Journal
 
A Survey Paper on Leakage Power and Delay in CMOS Circuits
A Survey Paper on Leakage Power and Delay in CMOS CircuitsA Survey Paper on Leakage Power and Delay in CMOS Circuits
A Survey Paper on Leakage Power and Delay in CMOS Circuitsijtsrd
 
Analysis Of Power Dissipation Amp Low Power VLSI Chip Design
Analysis Of Power Dissipation  Amp  Low Power VLSI Chip DesignAnalysis Of Power Dissipation  Amp  Low Power VLSI Chip Design
Analysis Of Power Dissipation Amp Low Power VLSI Chip DesignBryce Nelson
 
Analysis of Power Dissipation & Low Power VLSI Chip Design
Analysis of Power Dissipation & Low Power VLSI Chip DesignAnalysis of Power Dissipation & Low Power VLSI Chip Design
Analysis of Power Dissipation & Low Power VLSI Chip DesignEditor IJMTER
 
Low Leakage Low Ground Bounce Noise Power Gating Techniques for FPGAs
Low Leakage Low Ground Bounce Noise Power Gating Techniques for FPGAsLow Leakage Low Ground Bounce Noise Power Gating Techniques for FPGAs
Low Leakage Low Ground Bounce Noise Power Gating Techniques for FPGAsIOSR Journals
 
Static power optimization using dual sub threshold supply voltages in digital...
Static power optimization using dual sub threshold supply voltages in digital...Static power optimization using dual sub threshold supply voltages in digital...
Static power optimization using dual sub threshold supply voltages in digital...VLSICS Design
 

Similar to P358387 (20)

Design and Analysis of Sequential Circuit for Leakage Power Reduction using S...
Design and Analysis of Sequential Circuit for Leakage Power Reduction using S...Design and Analysis of Sequential Circuit for Leakage Power Reduction using S...
Design and Analysis of Sequential Circuit for Leakage Power Reduction using S...
 
Extremely Low Power FIR Filter for a Smart Dust Sensor Module
Extremely Low Power FIR Filter for a Smart Dust Sensor ModuleExtremely Low Power FIR Filter for a Smart Dust Sensor Module
Extremely Low Power FIR Filter for a Smart Dust Sensor Module
 
Optimized Design of an Alu Block Using Power Gating Technique
Optimized Design of an Alu Block Using Power Gating TechniqueOptimized Design of an Alu Block Using Power Gating Technique
Optimized Design of an Alu Block Using Power Gating Technique
 
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
 
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
 
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
 
IRJET- Reliability Enhancement of Low-Power Sequential Circuits using Power G...
IRJET- Reliability Enhancement of Low-Power Sequential Circuits using Power G...IRJET- Reliability Enhancement of Low-Power Sequential Circuits using Power G...
IRJET- Reliability Enhancement of Low-Power Sequential Circuits using Power G...
 
IRJET- An Evaluation of the Performance Parameters of CMOS and CNTFET based D...
IRJET- An Evaluation of the Performance Parameters of CMOS and CNTFET based D...IRJET- An Evaluation of the Performance Parameters of CMOS and CNTFET based D...
IRJET- An Evaluation of the Performance Parameters of CMOS and CNTFET based D...
 
Hx3313651367
Hx3313651367Hx3313651367
Hx3313651367
 
O42018994
O42018994O42018994
O42018994
 
Ig3415411546
Ig3415411546Ig3415411546
Ig3415411546
 
W04406104107
W04406104107W04406104107
W04406104107
 
40520130101002
4052013010100240520130101002
40520130101002
 
A Survey Paper on Leakage Power and Delay in CMOS Circuits
A Survey Paper on Leakage Power and Delay in CMOS CircuitsA Survey Paper on Leakage Power and Delay in CMOS Circuits
A Survey Paper on Leakage Power and Delay in CMOS Circuits
 
Analysis Of Power Dissipation Amp Low Power VLSI Chip Design
Analysis Of Power Dissipation  Amp  Low Power VLSI Chip DesignAnalysis Of Power Dissipation  Amp  Low Power VLSI Chip Design
Analysis Of Power Dissipation Amp Low Power VLSI Chip Design
 
Analysis of Power Dissipation & Low Power VLSI Chip Design
Analysis of Power Dissipation & Low Power VLSI Chip DesignAnalysis of Power Dissipation & Low Power VLSI Chip Design
Analysis of Power Dissipation & Low Power VLSI Chip Design
 
First_Bin_Jan_2015
First_Bin_Jan_2015First_Bin_Jan_2015
First_Bin_Jan_2015
 
Low Leakage Low Ground Bounce Noise Power Gating Techniques for FPGAs
Low Leakage Low Ground Bounce Noise Power Gating Techniques for FPGAsLow Leakage Low Ground Bounce Noise Power Gating Techniques for FPGAs
Low Leakage Low Ground Bounce Noise Power Gating Techniques for FPGAs
 
H0144757
H0144757H0144757
H0144757
 
Static power optimization using dual sub threshold supply voltages in digital...
Static power optimization using dual sub threshold supply voltages in digital...Static power optimization using dual sub threshold supply voltages in digital...
Static power optimization using dual sub threshold supply voltages in digital...
 

Recently uploaded

Dev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio WebDev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio WebUiPathCommunity
 
"Debugging python applications inside k8s environment", Andrii Soldatenko
"Debugging python applications inside k8s environment", Andrii Soldatenko"Debugging python applications inside k8s environment", Andrii Soldatenko
"Debugging python applications inside k8s environment", Andrii SoldatenkoFwdays
 
Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!Commit University
 
Commit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easyCommit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easyAlfredo García Lavilla
 
Are Multi-Cloud and Serverless Good or Bad?
Are Multi-Cloud and Serverless Good or Bad?Are Multi-Cloud and Serverless Good or Bad?
Are Multi-Cloud and Serverless Good or Bad?Mattias Andersson
 
"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr Bagan"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr BaganFwdays
 
Developer Data Modeling Mistakes: From Postgres to NoSQL
Developer Data Modeling Mistakes: From Postgres to NoSQLDeveloper Data Modeling Mistakes: From Postgres to NoSQL
Developer Data Modeling Mistakes: From Postgres to NoSQLScyllaDB
 
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage Cost
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage CostLeverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage Cost
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage CostZilliz
 
Anypoint Exchange: It’s Not Just a Repo!
Anypoint Exchange: It’s Not Just a Repo!Anypoint Exchange: It’s Not Just a Repo!
Anypoint Exchange: It’s Not Just a Repo!Manik S Magar
 
Streamlining Python Development: A Guide to a Modern Project Setup
Streamlining Python Development: A Guide to a Modern Project SetupStreamlining Python Development: A Guide to a Modern Project Setup
Streamlining Python Development: A Guide to a Modern Project SetupFlorian Wilhelm
 
SIP trunking in Janus @ Kamailio World 2024
SIP trunking in Janus @ Kamailio World 2024SIP trunking in Janus @ Kamailio World 2024
SIP trunking in Janus @ Kamailio World 2024Lorenzo Miniero
 
SAP Build Work Zone - Overview L2-L3.pptx
SAP Build Work Zone - Overview L2-L3.pptxSAP Build Work Zone - Overview L2-L3.pptx
SAP Build Work Zone - Overview L2-L3.pptxNavinnSomaal
 
WordPress Websites for Engineers: Elevate Your Brand
WordPress Websites for Engineers: Elevate Your BrandWordPress Websites for Engineers: Elevate Your Brand
WordPress Websites for Engineers: Elevate Your Brandgvaughan
 
Ensuring Technical Readiness For Copilot in Microsoft 365
Ensuring Technical Readiness For Copilot in Microsoft 365Ensuring Technical Readiness For Copilot in Microsoft 365
Ensuring Technical Readiness For Copilot in Microsoft 3652toLead Limited
 
Take control of your SAP testing with UiPath Test Suite
Take control of your SAP testing with UiPath Test SuiteTake control of your SAP testing with UiPath Test Suite
Take control of your SAP testing with UiPath Test SuiteDianaGray10
 
DSPy a system for AI to Write Prompts and Do Fine Tuning
DSPy a system for AI to Write Prompts and Do Fine TuningDSPy a system for AI to Write Prompts and Do Fine Tuning
DSPy a system for AI to Write Prompts and Do Fine TuningLars Bell
 
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek SchlawackFwdays
 
Hyperautomation and AI/ML: A Strategy for Digital Transformation Success.pdf
Hyperautomation and AI/ML: A Strategy for Digital Transformation Success.pdfHyperautomation and AI/ML: A Strategy for Digital Transformation Success.pdf
Hyperautomation and AI/ML: A Strategy for Digital Transformation Success.pdfPrecisely
 
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)Mark Simos
 

Recently uploaded (20)

Dev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio WebDev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio Web
 
E-Vehicle_Hacking_by_Parul Sharma_null_owasp.pptx
E-Vehicle_Hacking_by_Parul Sharma_null_owasp.pptxE-Vehicle_Hacking_by_Parul Sharma_null_owasp.pptx
E-Vehicle_Hacking_by_Parul Sharma_null_owasp.pptx
 
"Debugging python applications inside k8s environment", Andrii Soldatenko
"Debugging python applications inside k8s environment", Andrii Soldatenko"Debugging python applications inside k8s environment", Andrii Soldatenko
"Debugging python applications inside k8s environment", Andrii Soldatenko
 
Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!
 
Commit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easyCommit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easy
 
Are Multi-Cloud and Serverless Good or Bad?
Are Multi-Cloud and Serverless Good or Bad?Are Multi-Cloud and Serverless Good or Bad?
Are Multi-Cloud and Serverless Good or Bad?
 
"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr Bagan"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr Bagan
 
Developer Data Modeling Mistakes: From Postgres to NoSQL
Developer Data Modeling Mistakes: From Postgres to NoSQLDeveloper Data Modeling Mistakes: From Postgres to NoSQL
Developer Data Modeling Mistakes: From Postgres to NoSQL
 
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage Cost
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage CostLeverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage Cost
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage Cost
 
Anypoint Exchange: It’s Not Just a Repo!
Anypoint Exchange: It’s Not Just a Repo!Anypoint Exchange: It’s Not Just a Repo!
Anypoint Exchange: It’s Not Just a Repo!
 
Streamlining Python Development: A Guide to a Modern Project Setup
Streamlining Python Development: A Guide to a Modern Project SetupStreamlining Python Development: A Guide to a Modern Project Setup
Streamlining Python Development: A Guide to a Modern Project Setup
 
SIP trunking in Janus @ Kamailio World 2024
SIP trunking in Janus @ Kamailio World 2024SIP trunking in Janus @ Kamailio World 2024
SIP trunking in Janus @ Kamailio World 2024
 
SAP Build Work Zone - Overview L2-L3.pptx
SAP Build Work Zone - Overview L2-L3.pptxSAP Build Work Zone - Overview L2-L3.pptx
SAP Build Work Zone - Overview L2-L3.pptx
 
WordPress Websites for Engineers: Elevate Your Brand
WordPress Websites for Engineers: Elevate Your BrandWordPress Websites for Engineers: Elevate Your Brand
WordPress Websites for Engineers: Elevate Your Brand
 
Ensuring Technical Readiness For Copilot in Microsoft 365
Ensuring Technical Readiness For Copilot in Microsoft 365Ensuring Technical Readiness For Copilot in Microsoft 365
Ensuring Technical Readiness For Copilot in Microsoft 365
 
Take control of your SAP testing with UiPath Test Suite
Take control of your SAP testing with UiPath Test SuiteTake control of your SAP testing with UiPath Test Suite
Take control of your SAP testing with UiPath Test Suite
 
DSPy a system for AI to Write Prompts and Do Fine Tuning
DSPy a system for AI to Write Prompts and Do Fine TuningDSPy a system for AI to Write Prompts and Do Fine Tuning
DSPy a system for AI to Write Prompts and Do Fine Tuning
 
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack
"Subclassing and Composition – A Pythonic Tour of Trade-Offs", Hynek Schlawack
 
Hyperautomation and AI/ML: A Strategy for Digital Transformation Success.pdf
Hyperautomation and AI/ML: A Strategy for Digital Transformation Success.pdfHyperautomation and AI/ML: A Strategy for Digital Transformation Success.pdf
Hyperautomation and AI/ML: A Strategy for Digital Transformation Success.pdf
 
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
 

P358387

  • 1. M.Prasanna Jyothi et al. Int. Journal of Engineering Research and Application www.ijera.com Vol. 3, Issue 5, Sep-Oct 2013, pp.83-87 www.ijera.com 83 | P a g e Design of Aware Flip-Flop with Low Power Variations by P-Spice M.Prasanna Jyothi1 & Dr.M.V. Subramanyam2 1 (Dept Of Electronics And Communication Engineering, Santhiram Engineering Colege, Nandyal) 2 (Dept Of Electronics And Communication Engineering, Santhiram Engineering Colege, Nandyal) ABSTRACT Excessive power dissipation causes overheating, which can lead multiple impacts like, packaging cost, reliability & functionality of IC and other soft errors. This naturally limits battery life of hand held equipments and need urgent solution to enable the proliferation of technology at large. Parameter variations in nanometer process technology are one of the major design challenges. They cause to be increased delay on the critical path and to change the logic level of internal nodes. The basic concept to solve these problems at the circuit level, design-for-variability (DFV), is to add error handling circuits at the conventional circuits so that they are robust to nanometer related variations. The state-of-the-art variation-aware flip flops are mainly evolved from aggressive DVFS (dynamic voltage and frequency scaling) -based low power application systems which handle errors caused from the scaled supply voltage. They only detect the timing errors and cannot correct the errors. We propose a variation–aware flip flop which can detect and correct the timing error efficiently. The experimental results show that the proposed variation aware flip flop is more robust and lower power than the existing approaches. I. Introduction In order to increase the performance of digital integrated circuits, very aggressive scaling technology has been developed. According to ITRS roadmap , MPU physical gate length is expected to be 22nm in 2012. Due to the parameter variations and increased sensitivity to radioactive particles, it is very difficult to keep increasing the performance of nanometer process technology ruled by Moore’s law. Compared to the micrometer ICs, nanometer ICs require smaller charge to maintain a logic level at the internal nodes. Thus, they are more susceptible to variations and external radioactive particles even at sea level. The sources of the variations are PVT (process (threshold, distortion of layout patterns during lithography), voltage (IR drop), and temperature). They also suffer from unreliability and aging problems (time-dependent variations) such as NBTI. It’s no secret that power is emerging as the most critical issue in system-on-chip (SoC) design today. Power management is becoming an increasingly urgent problem for almost every category of design, as power density—measured in watts per square millimeter—rises at an alarming rate. In the last few years, design for low power has started to change again how designers approach complex SoC designs. Each of these revolutions has been a response to the challenges posed by evolving semiconductor technology. The exponential increase in chip density drove the adoption of language-based design and synthesis, providing a dramatic increase in designer productivity. This approach held Moore’s law at bay for a decade or so, but in the era of million gate designs, engineers discovered that there was a limit to how much new RTL could be written for a new chip project. The result was that IP and design reuse became accepted as the only practical way to design large chips with relatively small design teams. Today every SoC design employs substantial IP in order to take advantage of the ever increasing density offered by sub-micron technology. Deep submicron technology, from 130nm on, poses a new set of design problems. We can now implement tens of millions of gates on a reasonably small die, leading to a power density and total power dissipation that is at the limits of what packaging, cooling, and other infrastructure can support. As technology has shrunk to 90nm and below, the leakage current is increasing dramatically, to the point where, in some 65nm designs, leakage current is nearly as large as dynamic current. These changes are having a significant effect on how chips are designed. The power density of the highest performance chips has grown to the point where it is no longer possible to increase clock speed as technology shrinks. The voltage variation, threshold variation and performance variation of ICs are expected to be 10%, 40%, and 60% in 2012, respectively. RESEARCH ARTICLE OPEN ACCESS
  • 2. M.Prasanna Jyothi et al. Int. Journal of Engineering Research and Application www.ijera.com Vol. 3, Issue 5, Sep-Oct 2013, pp.83-87 www.ijera.com 84 | P a g e II. Existing system 2.1 Clock tree optimization and clock gating In normal operation, the clock signal continues to toggle at every clock cycle, whether or not its registers are changing. Clock trees are a large source of dynamic power because they switch at the maximum rate and typically have larger capacitive loads. If data is loaded into registers only infrequently, a significant amount of power is wasted. By shutting off blocks that are not required to be active, clock gating ensures power is not dissipated during the idle time. Clock gating can occur at the leaf level (at the register) or higher up in the clock tree. When clock gating is done at the block level, the entire clock tree for the block can be disabled. The resulting reduction in clock network switching becomes extremely valuable in reducing dynamic power. 2.2- Operand Isolation Often, data path computation elements are sampled only periodically. This sampling is controlled by an enable signal. When the enable is inactive, the data path inputs can be forced to a constant value. The result is that the data path will not switch, saving dynamic power. 2.3 Multi-Vth Multi-Vth optimization utilizes gates with different thresholds to optimize for power, timing, and area constraints. Most library vendors provide libraries that have cells with different switching thresholds. A good synthesis tool for low-power applications is able to mix available multi-threshold library cells to meet speed and area constraints with the lowest power dissipation. This complex task optimizes for multiple variables and so is automated in today’s synthesis tools. 2.4 MSV Multi-supply voltage techniques operate different blocks at different voltages. Running at a lower voltage reduces power consumption, but at the expense of speed. Designers use different supply voltages for different parts of the chip based on their performance requirements. MSV implementation is key to reducing power since lowering the voltage has a squared effect on active power consumption.MSV techniques require level shifters on signals that go from one voltage level to another. Without level shifters, signals that cross voltage levels will not be sampled correctly. 2.5 DVS/DVFS/AVFS Dynamic voltage and frequency scaling (DVFS) techniques—along with associated techniques such as dynamic voltage scaling (DVS) and adaptive voltage and frequency scaling (AVFS)—are very effective in reducing power, since lowering the voltage has a squared effect on active power consumption. DVFS techniques provide ways to reduce power consumption of chips on the fly by scaling down the voltage (and frequency) based on the targeted performance requirements of the application. III. Proposed system In this project, we propose a low-power variation-aware FF.Compared to the existing pproaches, the proposed FF does not require longer delayed clock and additional errorcorrection hardware. Also, the error detection and correction window is extended to the half of the clock period. The block diagram and the schematic of the proposed FF are shown in Fig. 1 (a) and Fig. 1 (b), respectively. The proposed FF consists of the conventional DFF, a sensor latch, a comparator, and a 2x1 multiplexer. The sensor latch is transparent at the positive phase of the clock. As shown in Fig. 2, in the case of timing error of the delayed input signal D, the proposed FF can detect and correct it. The design concept for the proposed FF is to sample the input and the output data of the DFF simultaneously at the positive phase of the clock. The proposed sensor FF eliminates the effect on the intra-die variation on the critical parts of the circuit using timing redundancy concept. Due to the fact that it borrows the timing margin from the hold time of the sequential element, the application system using the proposed FF can use higher target clock frequency with more robustness to PVT variations.
  • 3. M.Prasanna Jyothi et al. Int. Journal of Engineering Research and Application www.ijera.com Vol. 3, Issue 5, Sep-Oct 2013, pp.83-87 www.ijera.com 85 | P a g e Due to the fact that it borrows the timing margin from the hold time of the sequential element, the application system using the proposed FF can use higher target clock frequency with more robustness to PVT variations. The comparator detects timing error of the input data by comparing the output data of the FF, which has already been sampled before the setup time of the FF only when the positive phase of the clock is activated. E_F signal is logical high (‘1’) in case of an error. By connecting the CLKB signal to the comparator module, the node E_F is deactivated and the pre-charged to logical low (‘0’) when CLKB is positive phase. It is possible to compare the value of the D with the value of the Q before the hold time of the DFF. In this case, the correct input value can be recognized as an error by the comparator module. In order to solve this problem, the additional small sized delay buffer is inserted between CLKB and D_CLKB to meet the hold time constraint. Compared to the existing FF , the proposed FF requires the small sized buffer, since the intrinsic hold time of the DFF is nearly equal to the propagation delay of a unit sized inverter. 3.1 Braun Multiplier Braun’s multiplier is an n × m bit parallel multiplier and generally known as carry save multiplier and is constructed with m × (n-1) addres and m × n AND gates. The Braun’s multiplier has a glitching problem which is due to the ripple carry adder in the last stage of the multiplier. Mathematical Basis Consider a generic m by n multiplication of two unsigned n – bit number y= ym-1….y0 and x= xn-1…x0 3.2 Propsed Circuit IV. Simulation Results
  • 4. M.Prasanna Jyothi et al. Int. Journal of Engineering Research and Application www.ijera.com Vol. 3, Issue 5, Sep-Oct 2013, pp.83-87 www.ijera.com 86 | P a g e 4.1 Implementation of the proposed aware flipflop by using PSICE 4.2 Expected simulation results 4.3Total power dissipation 4.4 PoFF Comparision Results 4.5 Average Power Disapption at PoFF Volt 4.6 Simulation in modelsim as below V. Conclusion In this paper, we propose an efficient variation-aw are FF for low power circuit designs. Compared to the existing approach, the proposed FF has longer detection window and does not require large hardware over head for generating the delayed clock. PoFFs of the digital circuit s using the proposed FF are lower than those of the digital
  • 5. M.Prasanna Jyothi et al. Int. Journal of Engineering Research and Application www.ijera.com Vol. 3, Issue 5, Sep-Oct 2013, pp.83-87 www.ijera.com 87 | P a g e circuits using the existing FF, since the proposed FF has longer error detection window. Also, the proposed system consumes less power dissipation with smaller number of transistors. Therefore, the proposed FF can be efficiently applied to low-power digital system technique. Also, the proposed FF design using DVFS be used for DFFV-aware circuit designs. References [1] International Technology Roadmap forrSemiconducctors, http://www.itrs.nnet/Links/2009IT RS/Home2009.httm [2] J. Guido Groesseneken, Robin DDegraeve, Ben KKaczer and Phillippe Roussel, "Challeenges in Reliabillity Assessment of Advanced CMMOS Technologies," PProceedings of 144th IPFA 2007, ppp. 1-9. [3] Shekhar Borkaa, "Designing RReliable Systemms from Unreliiable Components: TThe Challengess of Transistoor Variability and Degradation,"IEEEE Micro maga zine 2005, Noveember-December,, pp. 10-16. [4] .J.W. McPhersonn, "Reliability Chhallenges for 45nmm and Beyond," DDAC 2006, pp. 176-1881. [5] Hisashige Ando , "Microprocessoor Architecture foor Yield Enhancemment and Reliable Opperation" Chap 9 oof High- Performaance Energy-Efficcient Microprocessor Design 2005 Sprringer Edited by Vojin G. Oklobddzija and Ram K. Krisshnamurthy. [6] V. G. Oklobdzijaa and R. K. Krishhnamurthy, High--Performance Eneergy-Efficient Micropprocessor Design, Dordrecht, The NNetherlands: Spriinger, 2006. [7] M. Omana, D. Rossi, C. Metraa, "Latch Susceptibility to TransientFaults and Neew Hardening Approach," IEEEE Transactions on computers, vol. 556, pp. 1255-12688, Sep. 2007. Efficient SEU-Tolerant Laatch Design for DDeep Sub-Micron Tecchnologies," in ICCDSN'07, 2007, ppp. 276-285.