SlideShare a Scribd company logo
1 of 7
Download to read offline
Dr. Fazal Noorbasha, B.Srinivas,Venkata Aravind Bezawada, V.Sai Praveen / International
       Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622
                www.ijera.com Vol. 2, Issue 4, July-August 2012, pp.825-831


         Implementation Of An Adaptive-Dynamic Arbitration
              Scheme For The Multilayer Ahb Busmatrix
     Dr. Fazal Noorbasha*,B.Srinivas**,Venkata Aravind Bezawada***,
                           V.Sai Praveen ****
    VLSI Research & Development Group, Department of ECE, KLUniversity, Guntur, AP-522502 INDIA


ABSTRACT:
In this paper, the adaptive dynamic arbitration      of the simplicity of the AMBA bus of ARM, which
scheme is being implemented on the slave side        attracts many IP designers, and the good
arbitration based on AMBA AHB protocol. The          architecture of the AMBA bus for applying
multilayered advanced high-performance bus           embedded systems with low power. The
(ML-AHB) bus matrix is an interconnection            multilayered advanced high-performance bus (ML-
between multiple masters and multiple slaves in a    AHB) bus matrix is an interconnection between
system. The design and implementation of a           multiple masters and multiple slaves in a system.
flexible arbiter for the ML-AHB bus matrix is to     The master and the slave communicate in terms of
support three priority policies—fixed priority,      request and grant signals. The master merely starts
round robin, and dynamic priority and three          a burst transaction and waits for the
data multiplexing modes—transfer, transaction,        slave response to proceed to the next transfer.
and desired transfer length. The slave side          However, the ML-AHB busmatrix of ARM offers
arbiter dynamically selects one of the nine          only transfer-based fixed-priority and round-robin
possible arbitration schemes based upon the          arbitration schemes. In fixed priority arbitration
priority-level notifications and the desired         scheme, each master is assigned a fixed priority
transfer length from the masters so that             value. It is simple in implementation and has small
arbitration leads to the maximum performance.        area cost. But in heavy communication traffic,
The area overhead of the adaptive dynamic            master that has low priority value cannot get a grant
arbitration scheme will be larger than those of      signal. In round robin arbitration scheme, each
the other arbitration schemes and improves the       master is allotted a fixed time slot. If the new master
throughput when compared to other schemes.           sends a request in between, then that master has to
Among the nine arbitration schemes, the              wait until all masters complete their tasks. This is
adaptive dynamic arbitration scheme is the           achieved by using a more complex interconnection
efficient one and the master which has accessed      matrix and gives the benefit of both increased
the bandwidth less number of times will be given     overall bus bandwidth and a more flexible system
highest priority and will get the grant signals.     structure. In particular, the ML-AHB busmatrix uses
                                                     slave-side arbitration. Slave-side arbitration is
Keywords: ML-AHB bus matrix, system-on-a-chip        different from master-side arbitration in terms of
(SoC),Adaptive dynamic arbitration, slave side       request and grant signals since, in the former, the
arbitration, high performance                        master merely starts a burst transaction and waits for
                                                     the slave response to proceed to the next transfer.
1. INTRODUCTION                                      Therefore, the unit of arbitration can be a transaction
         The on-chip bus plays a key role in the     or a transfer. The transaction-based arbiter
system-on-a-chip (SoC) design by enabling the        multiplexes the data transfer based on the burst
efficient integration of heterogeneous system        transaction, and the transfer-based arbiter switches
components such as CPUs, DSPs, application-          the data transfer based on a single transfer.
specific cores, memories, and custom logic.          However, the ML-AHB busmatrix of ARM presents
Recently, as the level of design complexity has      only transfer- based arbitration schemes, i.e.,
become higher, SoC designs require a system bus      transfer based fixed-priority and round-robin
with high bandwidth to perform multiple operations   arbitration schemes. This limitation on the
in parallel [1]. To solve the bandwidth problems,    arbitration scheme may lead to degradation of the
there have been several types of high-performance    system performance because the arbitration scheme
on-chip buses proposed, such as the multilayer AHB   is usually dependent on the application
(ML-AHB) busmatrix from ARM, the PLB crossbar        requirements; recent applications are likewise
switch from IBM, and CONMAX from Silicore.           becoming more complex and diverse [2].
Among them, the ML-AHB busmatrix has been            By implementing an efficient arbitration scheme, the
widely used in many SoC designs. This is because     system performance can be tuned to better suit

                                                                                            825 | P a g e
Dr. Fazal Noorbasha, B.Srinivas,Venkata Aravind Bezawada, V.Sai Praveen / International
       Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622
                www.ijera.com Vol. 2, Issue 4, July-August 2012, pp.825-831

applications. For a high-performance on-chip bus,          transfer to the slave and decides which the highest
several studies related to the arbitration scheme have     priority is currently. The ML-AHB busmatrix
been proposed, such as table-lookup-based crossbar         employs slave-side arbitration, in which the arbiters
arbitration, two-level time-division multiplexing          are located in front of each slave port, as shown in
(TDM) scheduling, token-ring mechanism, dynamic            Fig. 1. The master simply starts a transaction and
bus distribution algorithm, and LOTTERYBUS.                waits for the slave response to proceed to the next
However, these approaches employ master-side               transfer. Therefore, the unit of arbitration can be a
arbitration. Therefore, they can only control priority     transaction or a transfer. However, the ML-AHB
policy and also present some limitations when              busmatrix of ARM furnishes only transfer-based
handling the transfer-based arbitration scheme since       arbitration schemes, specifically transfer-based
master-side arbitration uses a centralized arbiter. In     fixed-priority and round-robin arbitration schemes.
contrast, it is possible to deal with the transfer-based   The transfer-based fixed-priority (round-robin)
arbitration scheme as well as the transaction- based       arbiter multiplexes the data transfer based on a
arbitration scheme in slave-side arbitration. In this      single transfer in a fixed-priority or round-robin
paper, we propose a flexible arbiter based on the          fashion [4-7].
adaptive-dynamic (AD) arbitration scheme for the
ML-AHB busmatrix [3].                                      III. AD ARBITRATION SCHEME FOR
                                                           THE ML- AHB BUSMATRI
                                                                      An assumption is made that the masters can
                                                           change their priority level and can issue the desired
                                                           transfer length to the arbiters in order to implement
                                                           a AD arbitration scheme. This assumption should be
                                                           valid because the system developer generally
                                                           recognizes the features of the target applications.
                                                           For example, some masters in embedded systems
                                                           are required to complete their job for given timing
                                                           constraints, resulting in the satisfaction of system-
                                                           level timing constraints. The computation time of
                                                           each master is predictable, but it is not easy to
                                                           foresee the data transfer time since the on-chip bus
                                                           is usually shared by several masters [7-9].
                                                           Our AD arbitration scheme has the following
                                                           advantages:
Fig.1. Overall structure of the ML-AHB busmatrix           1) It can adjust the processed data unit;
of ARM .                                                   2) it changes the priority policies during runtime;
In Section II, we briefly explain the arbitration          and
schemes for the ML-AHB busmatrix of ARM, while             3) it is easy to tune the arbitration scheme according
Section III describes an implementation method for         to the characteristics of the target application.
our flexible arbiter based upon the AD arbitration
scheme for the ML-AHB busmatrix. We then                   Hence, our arbiter is able to not only deal with the
present implementation results and performance             transfer-based fixed-priority, round-robin, and
analysis in Section IV, simulation results in Section      dynamic-priority arbitration schemes but also
V and concluding remarks in Section VI.                    manage the transaction-based fixed-priority, round-
                                                           robin, and dynamic-priority arbitration schemes.
II. ARBITRATION SCHEMES FOR THE                            Furthermore, our arbiter provides the desired-
                                                           transfer-length-based fixed-priority, round-robin,
ML- AHB BUSMATRIX OF ARM                                   and dynamic-priority arbitration schemes. In
         The ML-AHB busmatrix of ARM consists
                                                           addition, the proposed AD arbiter selects one of the
of the input stage, decoder, and output stage,
                                                           nine possible arbitration schemes based on the
including an arbiter. Fig. 1 shows the overall
                                                           priority-level notifications and the desired transfer
structure of the ML-AHB busmatrix of ARM. The
                                                           length from the masters to ensure that the arbitration
input stage is responsible for holding the address
                                                           leads to the maximum performance [10].
and control information when transfer to a slave is
not able to commence immediately. The decoder
determines which slave that a transfer is destined
for. The output stage is used to select which of the
various master input ports is routed to the slave.
Each output stage has an arbiter. The arbiter
determines which input stage has to perform a
                                                                                                 826 | P a g e
Dr. Fazal Noorbasha, B.Srinivas,Venkata Aravind Bezawada, V.Sai Praveen / International
       Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622
                www.ijera.com Vol. 2, Issue 4, July-August 2012, pp.825-831

                                                         After generating the up- and down-masked vectors,
                                                         we examine each masked vector as to whether they
                                                         are zero or not. If the up-masked vector is zero, the
                                                         down-masked vector is inserted to the input
                                                         parameter of the round-robin function; if it is not
                                                         zero, the up-masked vector is the one inserted. A
                                                         master for the next transfer is chosen by the round-
                                                         robin function, and the current master is updated
                                                         after 1 clock cycle. The RR block is then performed
                                                         by repeating the arbitration procedure shown in
                                                         Fig.3.
                                                                   A master for the next transfer is selected,
                                                         with the priority level of the least significant bit in
                                                         Masked_Vector being the highest. If we modify the
Fig.2.Internal structure of our arbiter.                 range      of    Masked_Vector         to     “0     to
                                                         Masked_Vector’left,” then the priority level of the
Fig.2 shows the internal structure of our arbiter        most significant bit in Masked_Vector becomes the
based upon the AD arbitration scheme. the NoPort         highest.
signal means that none of the masters must be
selected and that the address and control signals to
the shared slave must be driven to an inactive state,
while Master No. indicates the currently selected
master number generated by the controller for the
AD arbitration scheme. In general, our arbiter
consists of an RR block, a P block, two
multiplexers, a counter, a controller, and two flip-
flops. MUX_1 and MUX_2 are used to select the
arbitration scheme and the desired transfer length of
a master, respectively. A counter calculates the
transfer length, with two flip-flops being inserted to
avoid the attempts by the critical path to arbitrate.
An RR block (P block) performs the round-robin- or
priority-based arbitration scheme. Fig. 5 shows the
internal process of an RR block.

                                                         Fig.4. Internal procedure of the P block.

                                                         Fig.4 shows the internal procedure of the P block.
                                                         First of all, we create the highest priority vector (V)
                                                         through the round-robin function. After generating
                                                         the highest priority vector (V), the priority-level
                                                         vectors and the highest priority vector (V) are
                                                         inserted to the input parameters of the priority
                                                         function. The master with the highest priority is
                                                         chosen by the priority function, while the current
                                                         master is updated after 1 clock cycle. The master
                                                         with the highest priority is selected in Fig4 [11].

                                                         A controller compares the priority levels of the
                                                         requesting masters. If the masters have equal
                                                         priorities, the controller selects the round-robin
Fig.3. Internal process of the RR block.                 arbitration scheme (RR block); in other cases, it
                                                         chooses the priority arbitration scheme (P block).
Initially, we create the up- and down-mask vectors       The controller also makes the final decision on the
(Up_Mask and Dn_Mask) based on the number of             master for the next transfer based on the transfer
currently selected masters, as shown in Fig.3. We        length of the selected master. The control process
then generate the up- or down-masked vector              follows the following three steps.
created through bitwise AND-ing operation between        1) If HMASTLOCK is asserted, the same master
the mask vector and the requested master vector.         remains selected.
                                                                                                827 | P a g e
Dr. Fazal Noorbasha, B.Srinivas,Venkata Aravind Bezawada, V.Sai Praveen / International
       Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622
                www.ijera.com Vol. 2, Issue 4, July-August 2012, pp.825-831

                                                          IV.IMPLEMENTATION RESULTS AND
2) If HMASTLOCK is not asserted and the                   PERFORMANCE ANALYSIS
currently selected master does not exist, the                       We implemented different slave-side
following hold.                                           arbitration schemes for the ML-AHB busmatrix.
a) If no master is requesting access, the NoPort          Each arbitration-scheme-based busmatrix was
signal is                 asserted.                       implemented with synthesizable RTL Verilog
b) Otherwise, a new master for the next transfer is       targeting XILINX FPGA (XC3S200). The XILINX
initially selected. If the masters have equal             design tool (ISE 12.1i) was used to measure the total
priorities, the round-robin arbitration scheme is         area. The implemented arbitration schemes are as
selected; otherwise, the priority arbitration scheme      follows:
is chosen. In addition, the counter is updated based      • FT, FR, RT, RR, DT, DR, and AD arbitration
on the transfer length of the selected master.            schemes.
3) If none of the previous statements applies, the
following hold.                                                    The total area of the AD-based busmatrix is
   a) If the counter is expired, the following hold.      9%–25% larger than those of the other busmatrixes.
i) If the requesting masters do not exist, the No- Port   This may be due to our AD-based busmatrix also
signal is updated based on the HSEL signal of the         requiring the comparator to compare the priority of
currently selected master. If the HSEL signal is “1,”     the masters and the counters to calculate the transfer
the same master remains selected, and the NoPort          length. Although our AD-based busmatrix occupies
signal is deasserted. Otherwise, the NoPort signal is     more area than the other busmatrixes, our arbiter is
asserted.                                                 able to deal with varied arbitration schemes such as
ii) Otherwise, a master for the next transfer is          the FT, FR, RT, RR, DT, and DR arbitration
selected based on the priority levels of the              schemes.
requesting masters. Also, the counter is updated.
b) If the counter is not expired, and the HSEL signal
of the current master is “1,” the same master
remains selected, and the counter is decreased.
c) If the currently selected master completes a
transaction before the counter is expired, the
following hold.
i) If the requesting masters do not exist, the No- Port
signal is asserted.
ii) Otherwise, a master for the next transfer is
chosen based on the priority levels of the requesting
masters, and the counter is updated [12].

The AD arbitration scheme is achieved through
iteration of the aforementioned steps. Combining the      Fig.5.Simulation   environment     for    performance
priority level and the desired transfer length of the     analysis
masters allows our arbiter to handle the transfer-
based fixed-priority, round-robin, and dynamic-           Fig.5 shows our simulation environment.
priority arbitration schemes (abbreviated as the FT,      In our simulation environment, the clock
RT, and DT arbitration schemes, respectively), as         frequencies of all components are 100 MHz (10 ns).
well as the transaction-based fixed-priority, round-      The implemented ML-AHB busmatrix has a 32-b
robin, and dynamic-priority arbitration schemes           address bus, a 32-b write data bus, a 32-b read data
(abbreviated as the FR, RR, and DR arbitration            bus, a 15-b control bus, and a 3-b response bus.
schemes, respectively). Moreover, our arbiter can         Meanwhile, the simulation environment consists of
also deal with the desired-transfer-length-based          both an implemented and a virtual part. The former
fixed-priority, round-robin, and dynamic-priority         corresponds to the ML-AHB busmatrixes with
arbitration schemes (abbreviated as the FL, RL, and       different arbitration schemes and consists of four
DL arbitration schemes, respectively). The transfer-      masters and two slaves. Specifically, we only
or transaction-based arbiter switches the data            considered two target slaves, which is when conflict
transfer based upon a single transfer (burst              frequently happens. The masters then access these in
transaction), and the desired-transfer-length-based       order to focus on the performance analysis based on
arbiter multiplexes the data transfer based on the        the arbitration schemes of each busmatrix. The
transfer length assigned by the masters [13-15].          virtual part, however, is composed of AHB masters
                                                          and AHB slaves. The AHB master generates the
                                                          transactions, with the transactions of the masters

                                                                                                   828 | P a g e
Dr. Fazal Noorbasha, B.Srinivas,Venkata Aravind Bezawada, V.Sai Praveen / International
       Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622
                www.ijera.com Vol. 2, Issue 4, July-August 2012, pp.825-831

having the same length as an 8-beat incrementing         SRAM-type AHB slave (AHB slave0 in Fig. 10)
burst type. The AHB slave responds to the transfers      without latency for access, while the slave for the
of the masters. Both the AHB masters and slaves are      second category is the SDRAM-type AHB slave
fully compatible with the AMBA AHB protocol.             with a long latency time for access. The slave for the
For a more realistic model of a SoC design, we           third category can be an AHB slave0 or an AHB
modeled the AHB masters after the features of the        slave1. In particular, the target addresses are
processor and DMA with verilog at the behavioral         generated based on the uniform distribution random
level. For the AHB slaves, we used the real SRAM,        number function between AHB slave0 and AHB
SDRAM, and SDRAM controller RTL models used              slave1. Therefore, each master communicates with
in many applications. We also constructed the            the slaves with the same probability in the third
protocol checker and performance monitor modules         category. We performed a number of performance
with the verilog and foreign language interface (FLI     simulations at various job lengths and observed no
C module) to ensure the reliability of our               difference in the results of the performance
performance simulations. Prior to the simulation, the    simulation at specific job lengths. The specific job
workloads should be determined as they affect the        length was 4800, and we decided the job length for
simulation results. However, determining the             performance analysis to be the same at 4800. In
appropriate workloads of real applications is            addition, this job length explicitly exhibits the
difficult because these can only be obtained when        features of each arbitration scheme very well.
all applications with real input data are specifically
modeled. Instead, the workloads for performance
simulation are obtained through synthetic workload
generation with the following parameters.

1) The distribution of transactions. This indicates
what proportion of the total transactions that each
master is responsible
for.
2) The ratio of the nonbus transaction time to the
total transaction time per AHB master, where the
total transaction time consists of a nonbus
transaction (internal transaction of the master) time
and a bus transaction (external transaction of the
master through the busmatrix) time.
3) The latency time of the accessed slave by each
master. These parameters determine the delay of
components in the virtual part. Through synthetic
workload generation, various possible situations are
investigated, where the ML-AHB busmatrixes with
each arbitration scheme can be utilized well. In this    Fig 6: RTL Schematic of AD Arbiter
regard, we found three useful categories of
experiments to identify the effects of the following     Fig 6 represents the RTL Schematic of AD Arbiter.
factors:                                                 In this block the implemented ML-AHB busmatrix
1) job length of the masters;                            has a 32-b address bus, a 32-b write data bus, a 32-b
2) latency time of the slaves;                           read data bus, a 15-b control bus, and a 3-b response
3) both the job length of the masters and the latency    bus.
time of
the slaves.

          The dynamic-priority-based arbitration
scheme has the advantage for throughput when there
are few masters with long job lengths in a system; in
other cases, the round-robin-based arbitration
scheme can get higher throughput than other
arbitration schemes. In addition, the arbitration
scheme with transaction-based multiplexing
performs better than the same arbitration scheme
with single-transfer-based switching in applications
with frequent access to long-latency slaves such as
SDRAM. The slave for the first category is the
                                                                                               829 | P a g e
Dr. Fazal Noorbasha, B.Srinivas,Venkata Aravind Bezawada, V.Sai Praveen / International
       Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622
                www.ijera.com Vol. 2, Issue 4, July-August 2012, pp.825-831

                                                VI.CONCLUSION
                                                          In this paper, we proposed a flexible arbiter
                                                based on the AD arbitration scheme for the ML-
                                                AHB busmatrix. Our arbiter supports three priority
                                                policies-fixed priority, round-robin, and dynamic
                                                priority-and three approaches to data multiplexing-
                                                transfer, transaction, and desired transfer length; in
                                                other words, there are nine possible arbitration
                                                schemes. In addition, the proposed AD arbiter
                                                selects one of the nine possible arbitration schemes
                                                based on the priority-level notifications and the
                                                desired transfer length from the masters to allow the
                                                arbitration to lead to the maximum performance.
                                                Experimental results show that, although the area of
                                                the proposed AD arbitration scheme is 9%–25%
                                                larger than those of other arbitration schemes, our
                                                arbiter improves the throughput by 14%–62%
Fig 7: RTL Schematic of AHB Master              compared with other schemes. We therefore expect
                                                that it would be better to apply our AD arbitration
Fig 7 represents RTL Schematic of AHB Master    scheme to an application- specific system because it
                                                is easy to tune the arbitration scheme according to
                                                the features of the target system.

                                                REFERENCES
                                                  [1]    M. Drinic, D. Kirovski, S. Megerian, and
                                                         M. Potkonjak, “Latencyguided on-chip
                                                         bus-network      design,”    IEEE     Trans.
                                                         Comput.-Aided Design Integr. Circuits
                                                         Syst., vol. 25, no. 12, pp. 2663–2673, Dec.
                                                         2006.
                                                  [2]    S. Y. Hwang, K. S. Jhang, H. J. Park, Y. H.
                                                         Bae, and H. J. Cho, “An ameliorated design
                                                         method of ML-AHB busmatrix,” ETRI J.,
                                                         vol. 28, no. 3, pp. 397–400, Jun. 2006.
                                                  [3]    ARM, “AHB Example AMBA System,”
                                                         2001            [Online].         Available:
                                                         http://www.arm.com/products/solutions/A
                                                         MBA_Spec.html
Fig 8: RTL Schematic of AHB Slave                 [4]    IBM, New York, “32-bit Processor Local
                                                         Bus Architecture Specification,” 2001.
V.SIMULATION RESULTS                              [5]    R. Usselmann, “WISHBONE interconnect
                                                         matrix IP core,” Open-Cores, 2002.
                                                         [Online].Available:
                                                         http://www.opencores.org/?do=project=wb
                                                         _conmax
                                                  [6]    N.-J. Kim and H.-J. Lee, “Design of
                                                         AMBA wrappers for multipleclock
                                                         operations,” in Proc. Int. Conf. ICCCAS,
                                                         Jun. 2004, vol. 2, pp. 1438–1442.
                                                  [7]    D. Flynn, “AMBA: Enabling reusable on-
                                                         chip designs,” IEEE Micro, vol. 17, no. 4,
                                                         pp. 20–27, Jul./Aug. 1997.
                                                  [8]    S. Y. Hwang, H.-J. Park, and K.-S. Jhang,
                                                         “Performance analysis of slave-side
                                                         arbitration schemes for the multi-layer
                                                         AHB busmatrix,” J. KISS, Comput. Syst.
                                                         Theory, vol. 34, no. 5, pp. 257–266, Jun.
                                                         2007.

                                                                                       830 | P a g e
Dr. Fazal Noorbasha, B.Srinivas,Venkata Aravind Bezawada, V.Sai Praveen / International
       Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622
                www.ijera.com Vol. 2, Issue 4, July-August 2012, pp.825-831

  [9]    S. S. Kallakuri and A. Doboli,                 Guntur, Andhra Pradesh, India, where he has been
         “Customization of arbitration policies and     engaged in teaching, research and development of
         buffer space distribution using continuous-    Low-power, High-speed CMOS VLSI SoC,
         time Markov decision processes,” IEEE          Memory Processors LSI’s, Fault Testing in VLSI,
         Trans. Very Large Scale Integr. (VLSI)         Embedded Systems and Nanotechnology. He is a
         Syst., vol. 15, no. 2, pp. 240–245, Feb.       Scientific and Technical Committee & Editorial
         2007.                                          Review Board Member in Engineering and Applied
  [10]   D. Seo and M. Thottethodi, “Table-lookup       Sciences of World Academy of Science Engineering
         based crossbar arbitration for minimal-        and Technology (WASET), Advisory Board
         routed, 2D mesh and torus networks,” in        Member of International Journal of Advances
         Proc. Int. Conf. IPDPS, Mar. 2007, pp. 1–      Engineering & Technology (IJAET), Member of
         10.                                            International Association of Engineers (IAENG) and
  [11]   K. Lahiri, A. Raghunathan, and S. Dey,         Senior Member of International Association of
         “Performance analysis of systems with          Computer Science and Information Technology
         multi-channel               communication      (IACSIT). He has published over 20 Science and
         architectures,” in Proc. Int. Conf. VLSI       Technical papers in various International and
         Design, Jan. 2000, pp. 530–537.                National reputed journals and conferences.
  [12]   J. Turner and N. Yamanaka, “Architectural
         choices in large scale ATM switches,”
         IEICE Trans. Commun., vol. E-81B, no. 2,                         Srinivas Boddu was born in
         pp. 120–137, Feb. 1998.                                          A.P,India. He received the B.Tech
  [13]   C. H. Pyoun, C. H. Lin, H. S. Kim, and J.                        degree                         in
         W. Chong, “The efficient bus arbitration                              Electronics&communications
         scheme in SoC environment,” in Proc. Int.                        engineering from Jawaharlal
         Conf. SoC Real-Time Appl., Jul. 2003, pp.                        Nehru Technological University
         311–315.                                                         in 2009. Presently he is pursuing
  [14]   K. Lahiri, A. Raghunathan, and G.              M.Tech VLSI Design in KL University. His
         Lakshminarayana, “The LOTTERYBUS               research interests include FPGA Implementation,
         on-chip communication architecture,”           Low Power Design.
         IEEE Trans. Very Large Scale Integr.
         (VLSI) Syst., vol. 14, no. 6, pp. 596–608,
         Jun. 2006.                                      Venkata Aravind Bezawada was born in
  [15]   S. Y. Hwang, H. J. Park, and K. S. Jhang,       A.P,India. He received the B.Tech degree in
         An Efficient Implementation Method of           Electronics& communications Engineering from
         Arbiter for the ML-AHB Busmatrix.               Jawaharlal Nehru Technological University in
         Berlin, Germany: Springer-Verlag, May                           2009. Presently he is pursuing
         2007, vol. 4523, LNCS, pp. 229–240.                             M.Tech VLSI Design in KL
                                                                         University. His research interests
                                                                         include Physical Design, Low
                                                                         Power Design.

                 Dr. Fazal Noorbasha was born on
                 29th April 1982. He received his,
                 B.Sc. Degree in Electronics
                 Sciences from BCAS College,
                                                                             Sai Praveen Venigalla was
                 Bapatla, Guntur, A.P., Affiliated to
                                                                             born in A.P, India. He
                 the Acharya Nagarjuna University,
                                                                             received the B.Tech degree
                 Guntur, Andhra Pradesh, India, in
                                                                                                       in
2003, M.Sc. Degree in Electronics Sciences from
                                                                              Electronics&communicatio
the Dr. HariSingh Gour University, Sagar, Madhya
                                                                             n       Engineering    from
Pradesh, India, in 2006, M.Tech. Degree in VLSI
                                                                             Jawaharlal            Nehru
Technology, from the North Maharashtra
                                                        Technological University in 2009. Presently he is
University, Jalgaon, Maharashtra, INDIA in 2008,
                                                        pursuing M.Tech VLSI Design in KL University.
and Ph.D. Degree in VLSI from Department Of
                                                        His     research   interests    include    FPGA
Physics and Electronics, Dr. HariSingh Gour
                                                        Implementation, Low Power Design.
Central University, Sagar, Madhya Pradesh, India,
in 2011. Presently he is working as a Assistant
Professor, Department of Electronics and
Communication Engineering, KL University,
                                                                                            831 | P a g e

More Related Content

What's hot

Routing in All-Optical Networks Using Recursive State Space Technique
Routing in All-Optical Networks Using Recursive State Space TechniqueRouting in All-Optical Networks Using Recursive State Space Technique
Routing in All-Optical Networks Using Recursive State Space Techniquesipij
 
Routing Optimization in IP/MPLS Networks under Per-Class Over-Provisioning Co...
Routing Optimization in IP/MPLS Networks under Per-Class Over-Provisioning Co...Routing Optimization in IP/MPLS Networks under Per-Class Over-Provisioning Co...
Routing Optimization in IP/MPLS Networks under Per-Class Over-Provisioning Co...EM Legacy
 
PBMAC – Position Based Channel Allocation for Vehicular Ad Hoc Networks
PBMAC – Position Based Channel Allocation for Vehicular Ad Hoc NetworksPBMAC – Position Based Channel Allocation for Vehicular Ad Hoc Networks
PBMAC – Position Based Channel Allocation for Vehicular Ad Hoc NetworksEswar Publications
 
More Capability for Less: PEO C3T finds efficiencies as it continues to upgra...
More Capability for Less: PEO C3T finds efficiencies as it continues to upgra...More Capability for Less: PEO C3T finds efficiencies as it continues to upgra...
More Capability for Less: PEO C3T finds efficiencies as it continues to upgra...Brian Lucke
 
EFFICIENT UTILIZATION OF CHANNELS USING DYNAMIC GUARD CHANNEL ALLOCATION WITH...
EFFICIENT UTILIZATION OF CHANNELS USING DYNAMIC GUARD CHANNEL ALLOCATION WITH...EFFICIENT UTILIZATION OF CHANNELS USING DYNAMIC GUARD CHANNEL ALLOCATION WITH...
EFFICIENT UTILIZATION OF CHANNELS USING DYNAMIC GUARD CHANNEL ALLOCATION WITH...cscpconf
 
Fuzzy logic and Satellite communication Systems
Fuzzy logic and Satellite communication SystemsFuzzy logic and Satellite communication Systems
Fuzzy logic and Satellite communication SystemsQueen's University
 
ORCHESTRATING BULK DATA TRANSFERS ACROSS GEO-DISTRIBUTED DATACENTERS
ORCHESTRATING BULK DATA TRANSFERS ACROSS GEO-DISTRIBUTED DATACENTERSORCHESTRATING BULK DATA TRANSFERS ACROSS GEO-DISTRIBUTED DATACENTERS
ORCHESTRATING BULK DATA TRANSFERS ACROSS GEO-DISTRIBUTED DATACENTERSNexgen Technology
 
IRJET- QOS Aware Manet Routing Protocol for Multimedia Traffic in an Adaptive...
IRJET- QOS Aware Manet Routing Protocol for Multimedia Traffic in an Adaptive...IRJET- QOS Aware Manet Routing Protocol for Multimedia Traffic in an Adaptive...
IRJET- QOS Aware Manet Routing Protocol for Multimedia Traffic in an Adaptive...IRJET Journal
 
Multi-domain Virtual Content-Aware Networks Mapping on Network Resources
Multi-domain Virtual Content-Aware Networks Mapping on Network ResourcesMulti-domain Virtual Content-Aware Networks Mapping on Network Resources
Multi-domain Virtual Content-Aware Networks Mapping on Network ResourcesAlpen-Adria-Universität
 
Defining Characteristics of QFabric
Defining Characteristics of QFabricDefining Characteristics of QFabric
Defining Characteristics of QFabricJuniper Networks
 
Defining characteristics of qfabric
Defining characteristics of qfabricDefining characteristics of qfabric
Defining characteristics of qfabricNam Nguyen
 
A preliminary evaluation of bandwidth allocation model dynamic switching
A preliminary evaluation of bandwidth allocation model dynamic switchingA preliminary evaluation of bandwidth allocation model dynamic switching
A preliminary evaluation of bandwidth allocation model dynamic switchingIJCNCJournal
 
Performance evaluation of bandwidth optimization algorithm (boa) in atm network
Performance evaluation of bandwidth optimization algorithm (boa) in atm networkPerformance evaluation of bandwidth optimization algorithm (boa) in atm network
Performance evaluation of bandwidth optimization algorithm (boa) in atm networkEditor Jacotech
 
07 gsm bss network kpi (call setup success rate) optimization manual
07 gsm bss network kpi (call setup success rate) optimization manual07 gsm bss network kpi (call setup success rate) optimization manual
07 gsm bss network kpi (call setup success rate) optimization manualtharinduwije
 
Daly Analysis for WiMax under balanced and unbalanced traffic conditions in f...
Daly Analysis for WiMax under balanced and unbalanced traffic conditions in f...Daly Analysis for WiMax under balanced and unbalanced traffic conditions in f...
Daly Analysis for WiMax under balanced and unbalanced traffic conditions in f...inventionjournals
 
A Simulation Based Performance Comparison of Routing Protocols (Reactive and ...
A Simulation Based Performance Comparison of Routing Protocols (Reactive and ...A Simulation Based Performance Comparison of Routing Protocols (Reactive and ...
A Simulation Based Performance Comparison of Routing Protocols (Reactive and ...IOSR Journals
 
Cw31431435
Cw31431435Cw31431435
Cw31431435IJMER
 
A low complexity partial transmit sequence approach based on hybrid segmentat...
A low complexity partial transmit sequence approach based on hybrid segmentat...A low complexity partial transmit sequence approach based on hybrid segmentat...
A low complexity partial transmit sequence approach based on hybrid segmentat...journalBEEI
 

What's hot (19)

Routing in All-Optical Networks Using Recursive State Space Technique
Routing in All-Optical Networks Using Recursive State Space TechniqueRouting in All-Optical Networks Using Recursive State Space Technique
Routing in All-Optical Networks Using Recursive State Space Technique
 
Routing Optimization in IP/MPLS Networks under Per-Class Over-Provisioning Co...
Routing Optimization in IP/MPLS Networks under Per-Class Over-Provisioning Co...Routing Optimization in IP/MPLS Networks under Per-Class Over-Provisioning Co...
Routing Optimization in IP/MPLS Networks under Per-Class Over-Provisioning Co...
 
PBMAC – Position Based Channel Allocation for Vehicular Ad Hoc Networks
PBMAC – Position Based Channel Allocation for Vehicular Ad Hoc NetworksPBMAC – Position Based Channel Allocation for Vehicular Ad Hoc Networks
PBMAC – Position Based Channel Allocation for Vehicular Ad Hoc Networks
 
More Capability for Less: PEO C3T finds efficiencies as it continues to upgra...
More Capability for Less: PEO C3T finds efficiencies as it continues to upgra...More Capability for Less: PEO C3T finds efficiencies as it continues to upgra...
More Capability for Less: PEO C3T finds efficiencies as it continues to upgra...
 
EFFICIENT UTILIZATION OF CHANNELS USING DYNAMIC GUARD CHANNEL ALLOCATION WITH...
EFFICIENT UTILIZATION OF CHANNELS USING DYNAMIC GUARD CHANNEL ALLOCATION WITH...EFFICIENT UTILIZATION OF CHANNELS USING DYNAMIC GUARD CHANNEL ALLOCATION WITH...
EFFICIENT UTILIZATION OF CHANNELS USING DYNAMIC GUARD CHANNEL ALLOCATION WITH...
 
Fuzzy logic and Satellite communication Systems
Fuzzy logic and Satellite communication SystemsFuzzy logic and Satellite communication Systems
Fuzzy logic and Satellite communication Systems
 
ORCHESTRATING BULK DATA TRANSFERS ACROSS GEO-DISTRIBUTED DATACENTERS
ORCHESTRATING BULK DATA TRANSFERS ACROSS GEO-DISTRIBUTED DATACENTERSORCHESTRATING BULK DATA TRANSFERS ACROSS GEO-DISTRIBUTED DATACENTERS
ORCHESTRATING BULK DATA TRANSFERS ACROSS GEO-DISTRIBUTED DATACENTERS
 
IRJET- QOS Aware Manet Routing Protocol for Multimedia Traffic in an Adaptive...
IRJET- QOS Aware Manet Routing Protocol for Multimedia Traffic in an Adaptive...IRJET- QOS Aware Manet Routing Protocol for Multimedia Traffic in an Adaptive...
IRJET- QOS Aware Manet Routing Protocol for Multimedia Traffic in an Adaptive...
 
Multi-domain Virtual Content-Aware Networks Mapping on Network Resources
Multi-domain Virtual Content-Aware Networks Mapping on Network ResourcesMulti-domain Virtual Content-Aware Networks Mapping on Network Resources
Multi-domain Virtual Content-Aware Networks Mapping on Network Resources
 
Defining Characteristics of QFabric
Defining Characteristics of QFabricDefining Characteristics of QFabric
Defining Characteristics of QFabric
 
Defining characteristics of qfabric
Defining characteristics of qfabricDefining characteristics of qfabric
Defining characteristics of qfabric
 
A preliminary evaluation of bandwidth allocation model dynamic switching
A preliminary evaluation of bandwidth allocation model dynamic switchingA preliminary evaluation of bandwidth allocation model dynamic switching
A preliminary evaluation of bandwidth allocation model dynamic switching
 
Performance evaluation of bandwidth optimization algorithm (boa) in atm network
Performance evaluation of bandwidth optimization algorithm (boa) in atm networkPerformance evaluation of bandwidth optimization algorithm (boa) in atm network
Performance evaluation of bandwidth optimization algorithm (boa) in atm network
 
2 fw 18269_0
2 fw 18269_02 fw 18269_0
2 fw 18269_0
 
07 gsm bss network kpi (call setup success rate) optimization manual
07 gsm bss network kpi (call setup success rate) optimization manual07 gsm bss network kpi (call setup success rate) optimization manual
07 gsm bss network kpi (call setup success rate) optimization manual
 
Daly Analysis for WiMax under balanced and unbalanced traffic conditions in f...
Daly Analysis for WiMax under balanced and unbalanced traffic conditions in f...Daly Analysis for WiMax under balanced and unbalanced traffic conditions in f...
Daly Analysis for WiMax under balanced and unbalanced traffic conditions in f...
 
A Simulation Based Performance Comparison of Routing Protocols (Reactive and ...
A Simulation Based Performance Comparison of Routing Protocols (Reactive and ...A Simulation Based Performance Comparison of Routing Protocols (Reactive and ...
A Simulation Based Performance Comparison of Routing Protocols (Reactive and ...
 
Cw31431435
Cw31431435Cw31431435
Cw31431435
 
A low complexity partial transmit sequence approach based on hybrid segmentat...
A low complexity partial transmit sequence approach based on hybrid segmentat...A low complexity partial transmit sequence approach based on hybrid segmentat...
A low complexity partial transmit sequence approach based on hybrid segmentat...
 

Viewers also liked

Matrizproyecto Aprendizaje
Matrizproyecto AprendizajeMatrizproyecto Aprendizaje
Matrizproyecto Aprendizajeferminarivas
 
Humanismo y renacimiento
Humanismo y renacimientoHumanismo y renacimiento
Humanismo y renacimientoelgranlato09
 
Ativ 1 4-tecnologianaescola-vilma_leia
Ativ 1 4-tecnologianaescola-vilma_leiaAtiv 1 4-tecnologianaescola-vilma_leia
Ativ 1 4-tecnologianaescola-vilma_leiaDaiane_custodio
 
Sistemas de información rural en el Perú
Sistemas de información rural en el PerúSistemas de información rural en el Perú
Sistemas de información rural en el PerúRIBDA 2009
 
Sindicato acusa prefeito de Moreno de demitir concursados
Sindicato acusa prefeito de Moreno de demitir concursadosSindicato acusa prefeito de Moreno de demitir concursados
Sindicato acusa prefeito de Moreno de demitir concursadosPaulo Veras
 
LinkedIn, la red profesional por excelencia 2011 04-14
LinkedIn, la red profesional por excelencia 2011 04-14LinkedIn, la red profesional por excelencia 2011 04-14
LinkedIn, la red profesional por excelencia 2011 04-14Soluciona Facil
 
ігар кузьмініч “гарадзенская школа калядаў”
ігар кузьмініч   “гарадзенская школа калядаў”ігар кузьмініч   “гарадзенская школа калядаў”
ігар кузьмініч “гарадзенская школа калядаў”budzma
 
Looking ravishing in stunning suits for parties
Looking ravishing in stunning suits for partiesLooking ravishing in stunning suits for parties
Looking ravishing in stunning suits for partiesNallu Collection
 
Amith Xavier updated
Amith Xavier updatedAmith Xavier updated
Amith Xavier updatedamith xavier
 

Viewers also liked (20)

Bm24421426
Bm24421426Bm24421426
Bm24421426
 
Dt24761766
Dt24761766Dt24761766
Dt24761766
 
Ge2411111121
Ge2411111121Ge2411111121
Ge2411111121
 
Matrizproyecto Aprendizaje
Matrizproyecto AprendizajeMatrizproyecto Aprendizaje
Matrizproyecto Aprendizaje
 
Humanismo y renacimiento
Humanismo y renacimientoHumanismo y renacimiento
Humanismo y renacimiento
 
Deja secar la ira
Deja secar la iraDeja secar la ira
Deja secar la ira
 
Certificate
CertificateCertificate
Certificate
 
Ativ 1 4-tecnologianaescola-vilma_leia
Ativ 1 4-tecnologianaescola-vilma_leiaAtiv 1 4-tecnologianaescola-vilma_leia
Ativ 1 4-tecnologianaescola-vilma_leia
 
Sistemas de información rural en el Perú
Sistemas de información rural en el PerúSistemas de información rural en el Perú
Sistemas de información rural en el Perú
 
Mh2420342042
Mh2420342042Mh2420342042
Mh2420342042
 
Luxury Vertical Portugal
Luxury Vertical PortugalLuxury Vertical Portugal
Luxury Vertical Portugal
 
Modelo word
Modelo wordModelo word
Modelo word
 
SML PROFILE
SML PROFILESML PROFILE
SML PROFILE
 
El uso de las tic
El uso de las ticEl uso de las tic
El uso de las tic
 
Sindicato acusa prefeito de Moreno de demitir concursados
Sindicato acusa prefeito de Moreno de demitir concursadosSindicato acusa prefeito de Moreno de demitir concursados
Sindicato acusa prefeito de Moreno de demitir concursados
 
LinkedIn, la red profesional por excelencia 2011 04-14
LinkedIn, la red profesional por excelencia 2011 04-14LinkedIn, la red profesional por excelencia 2011 04-14
LinkedIn, la red profesional por excelencia 2011 04-14
 
ігар кузьмініч “гарадзенская школа калядаў”
ігар кузьмініч   “гарадзенская школа калядаў”ігар кузьмініч   “гарадзенская школа калядаў”
ігар кузьмініч “гарадзенская школа калядаў”
 
27916-Lead Auditor Energia
27916-Lead Auditor Energia27916-Lead Auditor Energia
27916-Lead Auditor Energia
 
Looking ravishing in stunning suits for parties
Looking ravishing in stunning suits for partiesLooking ravishing in stunning suits for parties
Looking ravishing in stunning suits for parties
 
Amith Xavier updated
Amith Xavier updatedAmith Xavier updated
Amith Xavier updated
 

Similar to Ed24825831

JPJ1403 A Stochastic Model To Investigate Data Center Performance And QoS I...
JPJ1403   A Stochastic Model To Investigate Data Center Performance And QoS I...JPJ1403   A Stochastic Model To Investigate Data Center Performance And QoS I...
JPJ1403 A Stochastic Model To Investigate Data Center Performance And QoS I...chennaijp
 
2014 IEEE JAVA CLOUD COMPUTING PROJECT A stochastic model to investigate data...
2014 IEEE JAVA CLOUD COMPUTING PROJECT A stochastic model to investigate data...2014 IEEE JAVA CLOUD COMPUTING PROJECT A stochastic model to investigate data...
2014 IEEE JAVA CLOUD COMPUTING PROJECT A stochastic model to investigate data...IEEEFINALSEMSTUDENTPROJECTS
 
IEEE 2014 JAVA CLOUD COMPUTING PROJECTS A stochastic model to investigate dat...
IEEE 2014 JAVA CLOUD COMPUTING PROJECTS A stochastic model to investigate dat...IEEE 2014 JAVA CLOUD COMPUTING PROJECTS A stochastic model to investigate dat...
IEEE 2014 JAVA CLOUD COMPUTING PROJECTS A stochastic model to investigate dat...IEEEGLOBALSOFTSTUDENTPROJECTS
 
2014 IEEE JAVA CLOUD COMPUTING PROJECT A stochastic model to investigate data...
2014 IEEE JAVA CLOUD COMPUTING PROJECT A stochastic model to investigate data...2014 IEEE JAVA CLOUD COMPUTING PROJECT A stochastic model to investigate data...
2014 IEEE JAVA CLOUD COMPUTING PROJECT A stochastic model to investigate data...IEEEFINALYEARSTUDENTPROJECT
 
Selfless Distributed Credit Based Scheduling for Improved QOS In IEEE 802.16 ...
Selfless Distributed Credit Based Scheduling for Improved QOS In IEEE 802.16 ...Selfless Distributed Credit Based Scheduling for Improved QOS In IEEE 802.16 ...
Selfless Distributed Credit Based Scheduling for Improved QOS In IEEE 802.16 ...ijwmn
 
Selfless Distributed Credit Based Scheduling for Improved QOS In IEEE 802.16 ...
Selfless Distributed Credit Based Scheduling for Improved QOS In IEEE 802.16 ...Selfless Distributed Credit Based Scheduling for Improved QOS In IEEE 802.16 ...
Selfless Distributed Credit Based Scheduling for Improved QOS In IEEE 802.16 ...ijwmn
 
SELFLESS DISTRIBUTED CREDIT BASED SCHEDULING FOR IMPROVED QOS IN IEEE 802.16 ...
SELFLESS DISTRIBUTED CREDIT BASED SCHEDULING FOR IMPROVED QOS IN IEEE 802.16 ...SELFLESS DISTRIBUTED CREDIT BASED SCHEDULING FOR IMPROVED QOS IN IEEE 802.16 ...
SELFLESS DISTRIBUTED CREDIT BASED SCHEDULING FOR IMPROVED QOS IN IEEE 802.16 ...ijwmn
 
Orchestrating bulk data transfers across
Orchestrating bulk data transfers acrossOrchestrating bulk data transfers across
Orchestrating bulk data transfers acrossnexgentech15
 
Orchestrating Bulk Data Transfers across Geo-Distributed Datacenters
 Orchestrating Bulk Data Transfers across Geo-Distributed Datacenters Orchestrating Bulk Data Transfers across Geo-Distributed Datacenters
Orchestrating Bulk Data Transfers across Geo-Distributed Datacentersnexgentechnology
 
Dynamic Traffic Management Services to Provide High Performance in IntelRate ...
Dynamic Traffic Management Services to Provide High Performance in IntelRate ...Dynamic Traffic Management Services to Provide High Performance in IntelRate ...
Dynamic Traffic Management Services to Provide High Performance in IntelRate ...IJMER
 
A bandwidth allocation model provisioning framework with autonomic characteri...
A bandwidth allocation model provisioning framework with autonomic characteri...A bandwidth allocation model provisioning framework with autonomic characteri...
A bandwidth allocation model provisioning framework with autonomic characteri...IJCNCJournal
 
Dynamic Traffic Management Services to Provide High Performance in IntelRate ...
Dynamic Traffic Management Services to Provide High Performance in IntelRate ...Dynamic Traffic Management Services to Provide High Performance in IntelRate ...
Dynamic Traffic Management Services to Provide High Performance in IntelRate ...IJMER
 
a stochastic model to investigate data center performance and qo s in iaas cl...
a stochastic model to investigate data center performance and qo s in iaas cl...a stochastic model to investigate data center performance and qo s in iaas cl...
a stochastic model to investigate data center performance and qo s in iaas cl...swathi78
 
Network assisted mobile computing with optimal uplink query processing
Network assisted mobile computing with optimal uplink query processingNetwork assisted mobile computing with optimal uplink query processing
Network assisted mobile computing with optimal uplink query processingJPINFOTECH JAYAPRAKASH
 
Modulation aware connection admission control and uplink scheduling algorithm...
Modulation aware connection admission control and uplink scheduling algorithm...Modulation aware connection admission control and uplink scheduling algorithm...
Modulation aware connection admission control and uplink scheduling algorithm...ijwmn
 
Adaptive SoC Operations Using Policy-Based System Control
Adaptive SoC Operations Using Policy-Based System ControlAdaptive SoC Operations Using Policy-Based System Control
Adaptive SoC Operations Using Policy-Based System ControlChipStart LLC
 
Network assisted mobile computing with optimal uplink quary processing
Network assisted mobile computing with optimal uplink quary processingNetwork assisted mobile computing with optimal uplink quary processing
Network assisted mobile computing with optimal uplink quary processingShakas Technologies
 
Psdot 1 optimization of resource provisioning cost in cloud computing
Psdot 1 optimization of resource provisioning cost in cloud computingPsdot 1 optimization of resource provisioning cost in cloud computing
Psdot 1 optimization of resource provisioning cost in cloud computingZTech Proje
 

Similar to Ed24825831 (20)

JPJ1403 A Stochastic Model To Investigate Data Center Performance And QoS I...
JPJ1403   A Stochastic Model To Investigate Data Center Performance And QoS I...JPJ1403   A Stochastic Model To Investigate Data Center Performance And QoS I...
JPJ1403 A Stochastic Model To Investigate Data Center Performance And QoS I...
 
2014 IEEE JAVA CLOUD COMPUTING PROJECT A stochastic model to investigate data...
2014 IEEE JAVA CLOUD COMPUTING PROJECT A stochastic model to investigate data...2014 IEEE JAVA CLOUD COMPUTING PROJECT A stochastic model to investigate data...
2014 IEEE JAVA CLOUD COMPUTING PROJECT A stochastic model to investigate data...
 
IEEE 2014 JAVA CLOUD COMPUTING PROJECTS A stochastic model to investigate dat...
IEEE 2014 JAVA CLOUD COMPUTING PROJECTS A stochastic model to investigate dat...IEEE 2014 JAVA CLOUD COMPUTING PROJECTS A stochastic model to investigate dat...
IEEE 2014 JAVA CLOUD COMPUTING PROJECTS A stochastic model to investigate dat...
 
2014 IEEE JAVA CLOUD COMPUTING PROJECT A stochastic model to investigate data...
2014 IEEE JAVA CLOUD COMPUTING PROJECT A stochastic model to investigate data...2014 IEEE JAVA CLOUD COMPUTING PROJECT A stochastic model to investigate data...
2014 IEEE JAVA CLOUD COMPUTING PROJECT A stochastic model to investigate data...
 
Selfless Distributed Credit Based Scheduling for Improved QOS In IEEE 802.16 ...
Selfless Distributed Credit Based Scheduling for Improved QOS In IEEE 802.16 ...Selfless Distributed Credit Based Scheduling for Improved QOS In IEEE 802.16 ...
Selfless Distributed Credit Based Scheduling for Improved QOS In IEEE 802.16 ...
 
Selfless Distributed Credit Based Scheduling for Improved QOS In IEEE 802.16 ...
Selfless Distributed Credit Based Scheduling for Improved QOS In IEEE 802.16 ...Selfless Distributed Credit Based Scheduling for Improved QOS In IEEE 802.16 ...
Selfless Distributed Credit Based Scheduling for Improved QOS In IEEE 802.16 ...
 
SELFLESS DISTRIBUTED CREDIT BASED SCHEDULING FOR IMPROVED QOS IN IEEE 802.16 ...
SELFLESS DISTRIBUTED CREDIT BASED SCHEDULING FOR IMPROVED QOS IN IEEE 802.16 ...SELFLESS DISTRIBUTED CREDIT BASED SCHEDULING FOR IMPROVED QOS IN IEEE 802.16 ...
SELFLESS DISTRIBUTED CREDIT BASED SCHEDULING FOR IMPROVED QOS IN IEEE 802.16 ...
 
Orchestrating bulk data transfers across
Orchestrating bulk data transfers acrossOrchestrating bulk data transfers across
Orchestrating bulk data transfers across
 
Orchestrating Bulk Data Transfers across Geo-Distributed Datacenters
 Orchestrating Bulk Data Transfers across Geo-Distributed Datacenters Orchestrating Bulk Data Transfers across Geo-Distributed Datacenters
Orchestrating Bulk Data Transfers across Geo-Distributed Datacenters
 
Dynamic Traffic Management Services to Provide High Performance in IntelRate ...
Dynamic Traffic Management Services to Provide High Performance in IntelRate ...Dynamic Traffic Management Services to Provide High Performance in IntelRate ...
Dynamic Traffic Management Services to Provide High Performance in IntelRate ...
 
A bandwidth allocation model provisioning framework with autonomic characteri...
A bandwidth allocation model provisioning framework with autonomic characteri...A bandwidth allocation model provisioning framework with autonomic characteri...
A bandwidth allocation model provisioning framework with autonomic characteri...
 
Dynamic Traffic Management Services to Provide High Performance in IntelRate ...
Dynamic Traffic Management Services to Provide High Performance in IntelRate ...Dynamic Traffic Management Services to Provide High Performance in IntelRate ...
Dynamic Traffic Management Services to Provide High Performance in IntelRate ...
 
a stochastic model to investigate data center performance and qo s in iaas cl...
a stochastic model to investigate data center performance and qo s in iaas cl...a stochastic model to investigate data center performance and qo s in iaas cl...
a stochastic model to investigate data center performance and qo s in iaas cl...
 
Network assisted mobile computing with optimal uplink query processing
Network assisted mobile computing with optimal uplink query processingNetwork assisted mobile computing with optimal uplink query processing
Network assisted mobile computing with optimal uplink query processing
 
Modulation aware connection admission control and uplink scheduling algorithm...
Modulation aware connection admission control and uplink scheduling algorithm...Modulation aware connection admission control and uplink scheduling algorithm...
Modulation aware connection admission control and uplink scheduling algorithm...
 
AMBA 2.0 REPORT
AMBA 2.0 REPORTAMBA 2.0 REPORT
AMBA 2.0 REPORT
 
Adaptive SoC Operations Using Policy-Based System Control
Adaptive SoC Operations Using Policy-Based System ControlAdaptive SoC Operations Using Policy-Based System Control
Adaptive SoC Operations Using Policy-Based System Control
 
WBN.pptx
WBN.pptxWBN.pptx
WBN.pptx
 
Network assisted mobile computing with optimal uplink quary processing
Network assisted mobile computing with optimal uplink quary processingNetwork assisted mobile computing with optimal uplink quary processing
Network assisted mobile computing with optimal uplink quary processing
 
Psdot 1 optimization of resource provisioning cost in cloud computing
Psdot 1 optimization of resource provisioning cost in cloud computingPsdot 1 optimization of resource provisioning cost in cloud computing
Psdot 1 optimization of resource provisioning cost in cloud computing
 

Ed24825831

  • 1. Dr. Fazal Noorbasha, B.Srinivas,Venkata Aravind Bezawada, V.Sai Praveen / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 2, Issue 4, July-August 2012, pp.825-831 Implementation Of An Adaptive-Dynamic Arbitration Scheme For The Multilayer Ahb Busmatrix Dr. Fazal Noorbasha*,B.Srinivas**,Venkata Aravind Bezawada***, V.Sai Praveen **** VLSI Research & Development Group, Department of ECE, KLUniversity, Guntur, AP-522502 INDIA ABSTRACT: In this paper, the adaptive dynamic arbitration of the simplicity of the AMBA bus of ARM, which scheme is being implemented on the slave side attracts many IP designers, and the good arbitration based on AMBA AHB protocol. The architecture of the AMBA bus for applying multilayered advanced high-performance bus embedded systems with low power. The (ML-AHB) bus matrix is an interconnection multilayered advanced high-performance bus (ML- between multiple masters and multiple slaves in a AHB) bus matrix is an interconnection between system. The design and implementation of a multiple masters and multiple slaves in a system. flexible arbiter for the ML-AHB bus matrix is to The master and the slave communicate in terms of support three priority policies—fixed priority, request and grant signals. The master merely starts round robin, and dynamic priority and three a burst transaction and waits for the data multiplexing modes—transfer, transaction, slave response to proceed to the next transfer. and desired transfer length. The slave side However, the ML-AHB busmatrix of ARM offers arbiter dynamically selects one of the nine only transfer-based fixed-priority and round-robin possible arbitration schemes based upon the arbitration schemes. In fixed priority arbitration priority-level notifications and the desired scheme, each master is assigned a fixed priority transfer length from the masters so that value. It is simple in implementation and has small arbitration leads to the maximum performance. area cost. But in heavy communication traffic, The area overhead of the adaptive dynamic master that has low priority value cannot get a grant arbitration scheme will be larger than those of signal. In round robin arbitration scheme, each the other arbitration schemes and improves the master is allotted a fixed time slot. If the new master throughput when compared to other schemes. sends a request in between, then that master has to Among the nine arbitration schemes, the wait until all masters complete their tasks. This is adaptive dynamic arbitration scheme is the achieved by using a more complex interconnection efficient one and the master which has accessed matrix and gives the benefit of both increased the bandwidth less number of times will be given overall bus bandwidth and a more flexible system highest priority and will get the grant signals. structure. In particular, the ML-AHB busmatrix uses slave-side arbitration. Slave-side arbitration is Keywords: ML-AHB bus matrix, system-on-a-chip different from master-side arbitration in terms of (SoC),Adaptive dynamic arbitration, slave side request and grant signals since, in the former, the arbitration, high performance master merely starts a burst transaction and waits for the slave response to proceed to the next transfer. 1. INTRODUCTION Therefore, the unit of arbitration can be a transaction The on-chip bus plays a key role in the or a transfer. The transaction-based arbiter system-on-a-chip (SoC) design by enabling the multiplexes the data transfer based on the burst efficient integration of heterogeneous system transaction, and the transfer-based arbiter switches components such as CPUs, DSPs, application- the data transfer based on a single transfer. specific cores, memories, and custom logic. However, the ML-AHB busmatrix of ARM presents Recently, as the level of design complexity has only transfer- based arbitration schemes, i.e., become higher, SoC designs require a system bus transfer based fixed-priority and round-robin with high bandwidth to perform multiple operations arbitration schemes. This limitation on the in parallel [1]. To solve the bandwidth problems, arbitration scheme may lead to degradation of the there have been several types of high-performance system performance because the arbitration scheme on-chip buses proposed, such as the multilayer AHB is usually dependent on the application (ML-AHB) busmatrix from ARM, the PLB crossbar requirements; recent applications are likewise switch from IBM, and CONMAX from Silicore. becoming more complex and diverse [2]. Among them, the ML-AHB busmatrix has been By implementing an efficient arbitration scheme, the widely used in many SoC designs. This is because system performance can be tuned to better suit 825 | P a g e
  • 2. Dr. Fazal Noorbasha, B.Srinivas,Venkata Aravind Bezawada, V.Sai Praveen / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 2, Issue 4, July-August 2012, pp.825-831 applications. For a high-performance on-chip bus, transfer to the slave and decides which the highest several studies related to the arbitration scheme have priority is currently. The ML-AHB busmatrix been proposed, such as table-lookup-based crossbar employs slave-side arbitration, in which the arbiters arbitration, two-level time-division multiplexing are located in front of each slave port, as shown in (TDM) scheduling, token-ring mechanism, dynamic Fig. 1. The master simply starts a transaction and bus distribution algorithm, and LOTTERYBUS. waits for the slave response to proceed to the next However, these approaches employ master-side transfer. Therefore, the unit of arbitration can be a arbitration. Therefore, they can only control priority transaction or a transfer. However, the ML-AHB policy and also present some limitations when busmatrix of ARM furnishes only transfer-based handling the transfer-based arbitration scheme since arbitration schemes, specifically transfer-based master-side arbitration uses a centralized arbiter. In fixed-priority and round-robin arbitration schemes. contrast, it is possible to deal with the transfer-based The transfer-based fixed-priority (round-robin) arbitration scheme as well as the transaction- based arbiter multiplexes the data transfer based on a arbitration scheme in slave-side arbitration. In this single transfer in a fixed-priority or round-robin paper, we propose a flexible arbiter based on the fashion [4-7]. adaptive-dynamic (AD) arbitration scheme for the ML-AHB busmatrix [3]. III. AD ARBITRATION SCHEME FOR THE ML- AHB BUSMATRI An assumption is made that the masters can change their priority level and can issue the desired transfer length to the arbiters in order to implement a AD arbitration scheme. This assumption should be valid because the system developer generally recognizes the features of the target applications. For example, some masters in embedded systems are required to complete their job for given timing constraints, resulting in the satisfaction of system- level timing constraints. The computation time of each master is predictable, but it is not easy to foresee the data transfer time since the on-chip bus is usually shared by several masters [7-9]. Our AD arbitration scheme has the following advantages: Fig.1. Overall structure of the ML-AHB busmatrix 1) It can adjust the processed data unit; of ARM . 2) it changes the priority policies during runtime; In Section II, we briefly explain the arbitration and schemes for the ML-AHB busmatrix of ARM, while 3) it is easy to tune the arbitration scheme according Section III describes an implementation method for to the characteristics of the target application. our flexible arbiter based upon the AD arbitration scheme for the ML-AHB busmatrix. We then Hence, our arbiter is able to not only deal with the present implementation results and performance transfer-based fixed-priority, round-robin, and analysis in Section IV, simulation results in Section dynamic-priority arbitration schemes but also V and concluding remarks in Section VI. manage the transaction-based fixed-priority, round- robin, and dynamic-priority arbitration schemes. II. ARBITRATION SCHEMES FOR THE Furthermore, our arbiter provides the desired- transfer-length-based fixed-priority, round-robin, ML- AHB BUSMATRIX OF ARM and dynamic-priority arbitration schemes. In The ML-AHB busmatrix of ARM consists addition, the proposed AD arbiter selects one of the of the input stage, decoder, and output stage, nine possible arbitration schemes based on the including an arbiter. Fig. 1 shows the overall priority-level notifications and the desired transfer structure of the ML-AHB busmatrix of ARM. The length from the masters to ensure that the arbitration input stage is responsible for holding the address leads to the maximum performance [10]. and control information when transfer to a slave is not able to commence immediately. The decoder determines which slave that a transfer is destined for. The output stage is used to select which of the various master input ports is routed to the slave. Each output stage has an arbiter. The arbiter determines which input stage has to perform a 826 | P a g e
  • 3. Dr. Fazal Noorbasha, B.Srinivas,Venkata Aravind Bezawada, V.Sai Praveen / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 2, Issue 4, July-August 2012, pp.825-831 After generating the up- and down-masked vectors, we examine each masked vector as to whether they are zero or not. If the up-masked vector is zero, the down-masked vector is inserted to the input parameter of the round-robin function; if it is not zero, the up-masked vector is the one inserted. A master for the next transfer is chosen by the round- robin function, and the current master is updated after 1 clock cycle. The RR block is then performed by repeating the arbitration procedure shown in Fig.3. A master for the next transfer is selected, with the priority level of the least significant bit in Masked_Vector being the highest. If we modify the Fig.2.Internal structure of our arbiter. range of Masked_Vector to “0 to Masked_Vector’left,” then the priority level of the Fig.2 shows the internal structure of our arbiter most significant bit in Masked_Vector becomes the based upon the AD arbitration scheme. the NoPort highest. signal means that none of the masters must be selected and that the address and control signals to the shared slave must be driven to an inactive state, while Master No. indicates the currently selected master number generated by the controller for the AD arbitration scheme. In general, our arbiter consists of an RR block, a P block, two multiplexers, a counter, a controller, and two flip- flops. MUX_1 and MUX_2 are used to select the arbitration scheme and the desired transfer length of a master, respectively. A counter calculates the transfer length, with two flip-flops being inserted to avoid the attempts by the critical path to arbitrate. An RR block (P block) performs the round-robin- or priority-based arbitration scheme. Fig. 5 shows the internal process of an RR block. Fig.4. Internal procedure of the P block. Fig.4 shows the internal procedure of the P block. First of all, we create the highest priority vector (V) through the round-robin function. After generating the highest priority vector (V), the priority-level vectors and the highest priority vector (V) are inserted to the input parameters of the priority function. The master with the highest priority is chosen by the priority function, while the current master is updated after 1 clock cycle. The master with the highest priority is selected in Fig4 [11]. A controller compares the priority levels of the requesting masters. If the masters have equal priorities, the controller selects the round-robin Fig.3. Internal process of the RR block. arbitration scheme (RR block); in other cases, it chooses the priority arbitration scheme (P block). Initially, we create the up- and down-mask vectors The controller also makes the final decision on the (Up_Mask and Dn_Mask) based on the number of master for the next transfer based on the transfer currently selected masters, as shown in Fig.3. We length of the selected master. The control process then generate the up- or down-masked vector follows the following three steps. created through bitwise AND-ing operation between 1) If HMASTLOCK is asserted, the same master the mask vector and the requested master vector. remains selected. 827 | P a g e
  • 4. Dr. Fazal Noorbasha, B.Srinivas,Venkata Aravind Bezawada, V.Sai Praveen / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 2, Issue 4, July-August 2012, pp.825-831 IV.IMPLEMENTATION RESULTS AND 2) If HMASTLOCK is not asserted and the PERFORMANCE ANALYSIS currently selected master does not exist, the We implemented different slave-side following hold. arbitration schemes for the ML-AHB busmatrix. a) If no master is requesting access, the NoPort Each arbitration-scheme-based busmatrix was signal is asserted. implemented with synthesizable RTL Verilog b) Otherwise, a new master for the next transfer is targeting XILINX FPGA (XC3S200). The XILINX initially selected. If the masters have equal design tool (ISE 12.1i) was used to measure the total priorities, the round-robin arbitration scheme is area. The implemented arbitration schemes are as selected; otherwise, the priority arbitration scheme follows: is chosen. In addition, the counter is updated based • FT, FR, RT, RR, DT, DR, and AD arbitration on the transfer length of the selected master. schemes. 3) If none of the previous statements applies, the following hold. The total area of the AD-based busmatrix is a) If the counter is expired, the following hold. 9%–25% larger than those of the other busmatrixes. i) If the requesting masters do not exist, the No- Port This may be due to our AD-based busmatrix also signal is updated based on the HSEL signal of the requiring the comparator to compare the priority of currently selected master. If the HSEL signal is “1,” the masters and the counters to calculate the transfer the same master remains selected, and the NoPort length. Although our AD-based busmatrix occupies signal is deasserted. Otherwise, the NoPort signal is more area than the other busmatrixes, our arbiter is asserted. able to deal with varied arbitration schemes such as ii) Otherwise, a master for the next transfer is the FT, FR, RT, RR, DT, and DR arbitration selected based on the priority levels of the schemes. requesting masters. Also, the counter is updated. b) If the counter is not expired, and the HSEL signal of the current master is “1,” the same master remains selected, and the counter is decreased. c) If the currently selected master completes a transaction before the counter is expired, the following hold. i) If the requesting masters do not exist, the No- Port signal is asserted. ii) Otherwise, a master for the next transfer is chosen based on the priority levels of the requesting masters, and the counter is updated [12]. The AD arbitration scheme is achieved through iteration of the aforementioned steps. Combining the Fig.5.Simulation environment for performance priority level and the desired transfer length of the analysis masters allows our arbiter to handle the transfer- based fixed-priority, round-robin, and dynamic- Fig.5 shows our simulation environment. priority arbitration schemes (abbreviated as the FT, In our simulation environment, the clock RT, and DT arbitration schemes, respectively), as frequencies of all components are 100 MHz (10 ns). well as the transaction-based fixed-priority, round- The implemented ML-AHB busmatrix has a 32-b robin, and dynamic-priority arbitration schemes address bus, a 32-b write data bus, a 32-b read data (abbreviated as the FR, RR, and DR arbitration bus, a 15-b control bus, and a 3-b response bus. schemes, respectively). Moreover, our arbiter can Meanwhile, the simulation environment consists of also deal with the desired-transfer-length-based both an implemented and a virtual part. The former fixed-priority, round-robin, and dynamic-priority corresponds to the ML-AHB busmatrixes with arbitration schemes (abbreviated as the FL, RL, and different arbitration schemes and consists of four DL arbitration schemes, respectively). The transfer- masters and two slaves. Specifically, we only or transaction-based arbiter switches the data considered two target slaves, which is when conflict transfer based upon a single transfer (burst frequently happens. The masters then access these in transaction), and the desired-transfer-length-based order to focus on the performance analysis based on arbiter multiplexes the data transfer based on the the arbitration schemes of each busmatrix. The transfer length assigned by the masters [13-15]. virtual part, however, is composed of AHB masters and AHB slaves. The AHB master generates the transactions, with the transactions of the masters 828 | P a g e
  • 5. Dr. Fazal Noorbasha, B.Srinivas,Venkata Aravind Bezawada, V.Sai Praveen / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 2, Issue 4, July-August 2012, pp.825-831 having the same length as an 8-beat incrementing SRAM-type AHB slave (AHB slave0 in Fig. 10) burst type. The AHB slave responds to the transfers without latency for access, while the slave for the of the masters. Both the AHB masters and slaves are second category is the SDRAM-type AHB slave fully compatible with the AMBA AHB protocol. with a long latency time for access. The slave for the For a more realistic model of a SoC design, we third category can be an AHB slave0 or an AHB modeled the AHB masters after the features of the slave1. In particular, the target addresses are processor and DMA with verilog at the behavioral generated based on the uniform distribution random level. For the AHB slaves, we used the real SRAM, number function between AHB slave0 and AHB SDRAM, and SDRAM controller RTL models used slave1. Therefore, each master communicates with in many applications. We also constructed the the slaves with the same probability in the third protocol checker and performance monitor modules category. We performed a number of performance with the verilog and foreign language interface (FLI simulations at various job lengths and observed no C module) to ensure the reliability of our difference in the results of the performance performance simulations. Prior to the simulation, the simulation at specific job lengths. The specific job workloads should be determined as they affect the length was 4800, and we decided the job length for simulation results. However, determining the performance analysis to be the same at 4800. In appropriate workloads of real applications is addition, this job length explicitly exhibits the difficult because these can only be obtained when features of each arbitration scheme very well. all applications with real input data are specifically modeled. Instead, the workloads for performance simulation are obtained through synthetic workload generation with the following parameters. 1) The distribution of transactions. This indicates what proportion of the total transactions that each master is responsible for. 2) The ratio of the nonbus transaction time to the total transaction time per AHB master, where the total transaction time consists of a nonbus transaction (internal transaction of the master) time and a bus transaction (external transaction of the master through the busmatrix) time. 3) The latency time of the accessed slave by each master. These parameters determine the delay of components in the virtual part. Through synthetic workload generation, various possible situations are investigated, where the ML-AHB busmatrixes with each arbitration scheme can be utilized well. In this Fig 6: RTL Schematic of AD Arbiter regard, we found three useful categories of experiments to identify the effects of the following Fig 6 represents the RTL Schematic of AD Arbiter. factors: In this block the implemented ML-AHB busmatrix 1) job length of the masters; has a 32-b address bus, a 32-b write data bus, a 32-b 2) latency time of the slaves; read data bus, a 15-b control bus, and a 3-b response 3) both the job length of the masters and the latency bus. time of the slaves. The dynamic-priority-based arbitration scheme has the advantage for throughput when there are few masters with long job lengths in a system; in other cases, the round-robin-based arbitration scheme can get higher throughput than other arbitration schemes. In addition, the arbitration scheme with transaction-based multiplexing performs better than the same arbitration scheme with single-transfer-based switching in applications with frequent access to long-latency slaves such as SDRAM. The slave for the first category is the 829 | P a g e
  • 6. Dr. Fazal Noorbasha, B.Srinivas,Venkata Aravind Bezawada, V.Sai Praveen / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 2, Issue 4, July-August 2012, pp.825-831 VI.CONCLUSION In this paper, we proposed a flexible arbiter based on the AD arbitration scheme for the ML- AHB busmatrix. Our arbiter supports three priority policies-fixed priority, round-robin, and dynamic priority-and three approaches to data multiplexing- transfer, transaction, and desired transfer length; in other words, there are nine possible arbitration schemes. In addition, the proposed AD arbiter selects one of the nine possible arbitration schemes based on the priority-level notifications and the desired transfer length from the masters to allow the arbitration to lead to the maximum performance. Experimental results show that, although the area of the proposed AD arbitration scheme is 9%–25% larger than those of other arbitration schemes, our arbiter improves the throughput by 14%–62% Fig 7: RTL Schematic of AHB Master compared with other schemes. We therefore expect that it would be better to apply our AD arbitration Fig 7 represents RTL Schematic of AHB Master scheme to an application- specific system because it is easy to tune the arbitration scheme according to the features of the target system. REFERENCES [1] M. Drinic, D. Kirovski, S. Megerian, and M. Potkonjak, “Latencyguided on-chip bus-network design,” IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 25, no. 12, pp. 2663–2673, Dec. 2006. [2] S. Y. Hwang, K. S. Jhang, H. J. Park, Y. H. Bae, and H. J. Cho, “An ameliorated design method of ML-AHB busmatrix,” ETRI J., vol. 28, no. 3, pp. 397–400, Jun. 2006. [3] ARM, “AHB Example AMBA System,” 2001 [Online]. Available: http://www.arm.com/products/solutions/A MBA_Spec.html Fig 8: RTL Schematic of AHB Slave [4] IBM, New York, “32-bit Processor Local Bus Architecture Specification,” 2001. V.SIMULATION RESULTS [5] R. Usselmann, “WISHBONE interconnect matrix IP core,” Open-Cores, 2002. [Online].Available: http://www.opencores.org/?do=project=wb _conmax [6] N.-J. Kim and H.-J. Lee, “Design of AMBA wrappers for multipleclock operations,” in Proc. Int. Conf. ICCCAS, Jun. 2004, vol. 2, pp. 1438–1442. [7] D. Flynn, “AMBA: Enabling reusable on- chip designs,” IEEE Micro, vol. 17, no. 4, pp. 20–27, Jul./Aug. 1997. [8] S. Y. Hwang, H.-J. Park, and K.-S. Jhang, “Performance analysis of slave-side arbitration schemes for the multi-layer AHB busmatrix,” J. KISS, Comput. Syst. Theory, vol. 34, no. 5, pp. 257–266, Jun. 2007. 830 | P a g e
  • 7. Dr. Fazal Noorbasha, B.Srinivas,Venkata Aravind Bezawada, V.Sai Praveen / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 2, Issue 4, July-August 2012, pp.825-831 [9] S. S. Kallakuri and A. Doboli, Guntur, Andhra Pradesh, India, where he has been “Customization of arbitration policies and engaged in teaching, research and development of buffer space distribution using continuous- Low-power, High-speed CMOS VLSI SoC, time Markov decision processes,” IEEE Memory Processors LSI’s, Fault Testing in VLSI, Trans. Very Large Scale Integr. (VLSI) Embedded Systems and Nanotechnology. He is a Syst., vol. 15, no. 2, pp. 240–245, Feb. Scientific and Technical Committee & Editorial 2007. Review Board Member in Engineering and Applied [10] D. Seo and M. Thottethodi, “Table-lookup Sciences of World Academy of Science Engineering based crossbar arbitration for minimal- and Technology (WASET), Advisory Board routed, 2D mesh and torus networks,” in Member of International Journal of Advances Proc. Int. Conf. IPDPS, Mar. 2007, pp. 1– Engineering & Technology (IJAET), Member of 10. International Association of Engineers (IAENG) and [11] K. Lahiri, A. Raghunathan, and S. Dey, Senior Member of International Association of “Performance analysis of systems with Computer Science and Information Technology multi-channel communication (IACSIT). He has published over 20 Science and architectures,” in Proc. Int. Conf. VLSI Technical papers in various International and Design, Jan. 2000, pp. 530–537. National reputed journals and conferences. [12] J. Turner and N. Yamanaka, “Architectural choices in large scale ATM switches,” IEICE Trans. Commun., vol. E-81B, no. 2, Srinivas Boddu was born in pp. 120–137, Feb. 1998. A.P,India. He received the B.Tech [13] C. H. Pyoun, C. H. Lin, H. S. Kim, and J. degree in W. Chong, “The efficient bus arbitration Electronics&communications scheme in SoC environment,” in Proc. Int. engineering from Jawaharlal Conf. SoC Real-Time Appl., Jul. 2003, pp. Nehru Technological University 311–315. in 2009. Presently he is pursuing [14] K. Lahiri, A. Raghunathan, and G. M.Tech VLSI Design in KL University. His Lakshminarayana, “The LOTTERYBUS research interests include FPGA Implementation, on-chip communication architecture,” Low Power Design. IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 14, no. 6, pp. 596–608, Jun. 2006. Venkata Aravind Bezawada was born in [15] S. Y. Hwang, H. J. Park, and K. S. Jhang, A.P,India. He received the B.Tech degree in An Efficient Implementation Method of Electronics& communications Engineering from Arbiter for the ML-AHB Busmatrix. Jawaharlal Nehru Technological University in Berlin, Germany: Springer-Verlag, May 2009. Presently he is pursuing 2007, vol. 4523, LNCS, pp. 229–240. M.Tech VLSI Design in KL University. His research interests include Physical Design, Low Power Design. Dr. Fazal Noorbasha was born on 29th April 1982. He received his, B.Sc. Degree in Electronics Sciences from BCAS College, Sai Praveen Venigalla was Bapatla, Guntur, A.P., Affiliated to born in A.P, India. He the Acharya Nagarjuna University, received the B.Tech degree Guntur, Andhra Pradesh, India, in in 2003, M.Sc. Degree in Electronics Sciences from Electronics&communicatio the Dr. HariSingh Gour University, Sagar, Madhya n Engineering from Pradesh, India, in 2006, M.Tech. Degree in VLSI Jawaharlal Nehru Technology, from the North Maharashtra Technological University in 2009. Presently he is University, Jalgaon, Maharashtra, INDIA in 2008, pursuing M.Tech VLSI Design in KL University. and Ph.D. Degree in VLSI from Department Of His research interests include FPGA Physics and Electronics, Dr. HariSingh Gour Implementation, Low Power Design. Central University, Sagar, Madhya Pradesh, India, in 2011. Presently he is working as a Assistant Professor, Department of Electronics and Communication Engineering, KL University, 831 | P a g e