SlideShare ist ein Scribd-Unternehmen logo
1 von 3
ANNA UNIVERSITY PRACTICAL EXAMINATION, APRIL 2011

                 SUB. CODE: EC2357       QUESTION PAPER          SUB: VLSI DESIGN LAB

      TIME DURATION: 3 HOURS                                            MAXIMUM MARKS: 100



 1. a. Simulate a serial adder using Xilinx ISE 9.1i
    b. Implement a 8:3 encoder using XC3S400 FPGA trainer kit.

2. a. Simulate a PRBS generator using Xilinx ISE 9.1i
   b. Implement a half adder using XC3S400 FPGA trainer kit.

3. a. Simulate an accumulator using Xilinx ISE 9.1i
  b. Implement a full adder using XC3S400 FPGA trainer kit.

4. a. Draw the schematics of a CMOS NAND gate . Generate the layout automatically and
      and simulate it.
   b. Implement a 3:8 decoder using XC3S400 FPGA trainer kit.

5. .a. Simulate a synchronous updown counter using Xilinx ISE 9.1i
   b. Implement a 4 bit multiplier using XC3S400 FPGA trainer kit.

6. a. Simulate a universal shift register using Xilinx ISE 9.1i
   b. Implement a half subtractor using XC3S400 FPGA trainer kit.

7. a. Simulate a 8 bit adder using Xilinx ISE 9.1i
   b. Implement a 1:8 demultiplexer using XC3S400 FPGA trainer kit.

8. a. Simulate a JK flip-flop using Xilinx ISE 9.1i
   b. Implement a full subtractor using XC3S400 FPGA trainer kit

9. a. Simulate a SR flip-flop using Xilinx ISE 9.1i
   b. Implement a 4:1 multiplexer using XC3S400 FPGA trainer kit

10. a. Generate the layout of a CMOS inverter and simulate .Measure the dissipated power. Find
        also the dissipated power after adding 0.01 pf capacitor at the output.
    b. Simulate a 8 bit multiplier using Xilinx ISE 9.1i
11. a. Draw the schematics of the function F= AB + C (A+B) and simulate it.. Generate the SPICE
       file.
    b. Simulate a T flip flop using Xilinx ISE 9.1i

12. a . Draw the schematics of the function F= (AB + C)D . Generate the layout automatically and
        and simulate it.
    b. Simulate a D flip flop using Xilinx ISE 9.1i

13. a. Simulate a serial adder using Xilinx ISE 9.1i
    b. Implement a 4 bit multiplier using XC3S400 FPGA trainer kit.

14 a. Simulate a PRBS generator using Xilinx ISE 9.1
   b. Implement a half subtractor using XC3S400 FPGA trainer kit.

15. a. Simulate an accumulator using Xilinx ISE 9.1i
    b. Implement a full subtractor using XC3S400 FPGA trainer kit.

16 a. Draw the schematics of a CMOS NOR gate . Generate the layout automatically and
      and simulate it.
   b. Implement a 1:8 demultiplexer using XC3S400 FPGA trainer kit.

17. a. Simulate a synchronous updown counter using Xilinx ISE 9.1i
    b. Implement a 4:1 multiplexer using XC3S400 FPGA trainer kit.

18. a. Simulate a universal shift register using Xilinx ISE 9.1i
    b. Implement a half adder using XC3S400 FPGA trainer kit.

19 a. Simulate a 8 bit adder using Xilinx ISE 9.1i
   b. Implement a 8:3 encoder using XC3S400 FPGA trainer kit.

20. a. Simulate a JK flip-flop using Xilinx ISE 9.1i
    b. Implement a full adder using XC3S400 FPGA trainer kit.
21. a. Simulate a SR flip-flop using Xilinx ISE 9.1i
   b. Implement a 3:8 decoder using XC3S400 FPGA trainer kit.

22. a. Generate the layout of a CMOS inverter and simulate .Measure the dissipated power. Find
       also the dissipated power after adding 0.1 pf capacitor at the output.
    b. Simulate a 6 bit multiplier using Xilinx ISE 9.1i




23. a. Draw the schematics of the function F= AB +B C +AC and simulate it.. Generate the
       Spice file.
    b. Simulate a T flip flop using Xilinx ISE 9.1i

24. a. Draw the schematics of the function F= AB C +D . Generate the layout automatically and
       and simulate it.
    b. Simulate a D flip flop using Xilinx ISE 9.1i

Weitere ähnliche Inhalte

Was ist angesagt?

Experiment write-vhdl-code-for-realize-all-logic-gates
Experiment write-vhdl-code-for-realize-all-logic-gatesExperiment write-vhdl-code-for-realize-all-logic-gates
Experiment write-vhdl-code-for-realize-all-logic-gates
Ricardo Castro
 
All VLSI programs
All VLSI programsAll VLSI programs
All VLSI programs
Gouthaman V
 
Day2 Verilog HDL Basic
Day2 Verilog HDL BasicDay2 Verilog HDL Basic
Day2 Verilog HDL Basic
Ron Liu
 
Juan josefumeroarray14
Juan josefumeroarray14Juan josefumeroarray14
Juan josefumeroarray14
Juan Fumero
 
Vlsilab13
Vlsilab13Vlsilab13
Vlsilab13
Krish s
 
Verilog Lecture3 hust 2014
Verilog Lecture3 hust 2014Verilog Lecture3 hust 2014
Verilog Lecture3 hust 2014
Béo Tú
 

Was ist angesagt? (20)

Verilog lab manual (ECAD and VLSI Lab)
Verilog lab manual (ECAD and VLSI Lab)Verilog lab manual (ECAD and VLSI Lab)
Verilog lab manual (ECAD and VLSI Lab)
 
Programs of VHDL
Programs of VHDLPrograms of VHDL
Programs of VHDL
 
Experiment write-vhdl-code-for-realize-all-logic-gates
Experiment write-vhdl-code-for-realize-all-logic-gatesExperiment write-vhdl-code-for-realize-all-logic-gates
Experiment write-vhdl-code-for-realize-all-logic-gates
 
Dsd lab Practical File
Dsd lab Practical FileDsd lab Practical File
Dsd lab Practical File
 
All VLSI programs
All VLSI programsAll VLSI programs
All VLSI programs
 
Day2 Verilog HDL Basic
Day2 Verilog HDL BasicDay2 Verilog HDL Basic
Day2 Verilog HDL Basic
 
Digital System Design Lab Report - VHDL ECE
Digital System Design Lab Report - VHDL ECEDigital System Design Lab Report - VHDL ECE
Digital System Design Lab Report - VHDL ECE
 
Digital system design lab manual
Digital system design lab manualDigital system design lab manual
Digital system design lab manual
 
Verilog lab mauual
Verilog lab mauualVerilog lab mauual
Verilog lab mauual
 
Juan josefumeroarray14
Juan josefumeroarray14Juan josefumeroarray14
Juan josefumeroarray14
 
Vlsilab13
Vlsilab13Vlsilab13
Vlsilab13
 
verilog code for logic gates
verilog code for logic gatesverilog code for logic gates
verilog code for logic gates
 
Yacf
YacfYacf
Yacf
 
Embedded system design psoc lab report
Embedded system design psoc lab reportEmbedded system design psoc lab report
Embedded system design psoc lab report
 
Verilog tutorial
Verilog tutorialVerilog tutorial
Verilog tutorial
 
8 Bit ALU
8 Bit ALU8 Bit ALU
8 Bit ALU
 
Verilog Lecture3 hust 2014
Verilog Lecture3 hust 2014Verilog Lecture3 hust 2014
Verilog Lecture3 hust 2014
 
Alu description[1]
Alu description[1]Alu description[1]
Alu description[1]
 
VHdl lab report
VHdl lab reportVHdl lab report
VHdl lab report
 
verilog
verilogverilog
verilog
 

Ähnlich wie VLSI Anna University Practical Examination

VLSI experiments II
VLSI experiments IIVLSI experiments II
VLSI experiments II
Gouthaman V
 
Penn  State  University          School  of.docx
Penn  State  University            School  of.docxPenn  State  University            School  of.docx
Penn  State  University          School  of.docx
danhaley45372
 

Ähnlich wie VLSI Anna University Practical Examination (10)

VLSI experiments II
VLSI experiments IIVLSI experiments II
VLSI experiments II
 
great_cow_basic_part18.pptx
great_cow_basic_part18.pptxgreat_cow_basic_part18.pptx
great_cow_basic_part18.pptx
 
03 - Refresher on buffer overflow in the old days
03 - Refresher on buffer overflow in the old days03 - Refresher on buffer overflow in the old days
03 - Refresher on buffer overflow in the old days
 
CorePy High-Productivity CellB.E. Programming
CorePy High-Productivity CellB.E. ProgrammingCorePy High-Productivity CellB.E. Programming
CorePy High-Productivity CellB.E. Programming
 
FortranCon2020: Highly Parallel Fortran and OpenACC Directives
FortranCon2020: Highly Parallel Fortran and OpenACC DirectivesFortranCon2020: Highly Parallel Fortran and OpenACC Directives
FortranCon2020: Highly Parallel Fortran and OpenACC Directives
 
Scikit-Learn: Machine Learning in Python
Scikit-Learn: Machine Learning in PythonScikit-Learn: Machine Learning in Python
Scikit-Learn: Machine Learning in Python
 
Vlsi model question paper 2 (june 2021)
Vlsi model question paper 2 (june 2021)Vlsi model question paper 2 (june 2021)
Vlsi model question paper 2 (june 2021)
 
17445 linear integrated circuits
17445   linear integrated circuits17445   linear integrated circuits
17445 linear integrated circuits
 
Penn  State  University          School  of.docx
Penn  State  University            School  of.docxPenn  State  University            School  of.docx
Penn  State  University          School  of.docx
 
Integrating microservices with apache camel on kubernetes
Integrating microservices with apache camel on kubernetesIntegrating microservices with apache camel on kubernetes
Integrating microservices with apache camel on kubernetes
 

Mehr von Gouthaman V

Professional Ethics Assignment II
Professional Ethics Assignment IIProfessional Ethics Assignment II
Professional Ethics Assignment II
Gouthaman V
 
Scholastic averages sheet-2
Scholastic averages sheet-2Scholastic averages sheet-2
Scholastic averages sheet-2
Gouthaman V
 
Eligibility criteria and instructions for Infosys Placement
Eligibility criteria and instructions for Infosys PlacementEligibility criteria and instructions for Infosys Placement
Eligibility criteria and instructions for Infosys Placement
Gouthaman V
 
Answers for 2 Marks Unit Test I (RMW)
Answers for 2 Marks Unit Test I (RMW)Answers for 2 Marks Unit Test I (RMW)
Answers for 2 Marks Unit Test I (RMW)
Gouthaman V
 
Anwers for 2 marks - RMW
Anwers for 2 marks - RMWAnwers for 2 marks - RMW
Anwers for 2 marks - RMW
Gouthaman V
 
Rmw unit test question papers
Rmw unit test question papersRmw unit test question papers
Rmw unit test question papers
Gouthaman V
 
Circular and semicircular cavity resonator
Circular and semicircular cavity resonatorCircular and semicircular cavity resonator
Circular and semicircular cavity resonator
Gouthaman V
 
VLSI Sequential Circuits II
VLSI Sequential Circuits IIVLSI Sequential Circuits II
VLSI Sequential Circuits II
Gouthaman V
 
VI Semester Examination Time Table
VI Semester Examination Time TableVI Semester Examination Time Table
VI Semester Examination Time Table
Gouthaman V
 
Antenna and Wave Propagation Assignment I
Antenna and Wave Propagation Assignment IAntenna and Wave Propagation Assignment I
Antenna and Wave Propagation Assignment I
Gouthaman V
 
Antenna and Wave Propagation Assignment I
Antenna and Wave Propagation Assignment IAntenna and Wave Propagation Assignment I
Antenna and Wave Propagation Assignment I
Gouthaman V
 
Computer Networks Unit Test II Questions
Computer Networks Unit Test II QuestionsComputer Networks Unit Test II Questions
Computer Networks Unit Test II Questions
Gouthaman V
 
Sequential Circuits I VLSI 9th experiment
Sequential Circuits I VLSI 9th experimentSequential Circuits I VLSI 9th experiment
Sequential Circuits I VLSI 9th experiment
Gouthaman V
 
Antenna Unit Test II Questions
Antenna Unit Test II QuestionsAntenna Unit Test II Questions
Antenna Unit Test II Questions
Gouthaman V
 
Antenna Unit Test II questions
Antenna Unit Test II questionsAntenna Unit Test II questions
Antenna Unit Test II questions
Gouthaman V
 
POM Unit Test II - ECE B
POM Unit Test II - ECE BPOM Unit Test II - ECE B
POM Unit Test II - ECE B
Gouthaman V
 

Mehr von Gouthaman V (20)

Professional Ethics Assignment II
Professional Ethics Assignment IIProfessional Ethics Assignment II
Professional Ethics Assignment II
 
Dip Unit Test-I
Dip Unit Test-IDip Unit Test-I
Dip Unit Test-I
 
Scholastic averages sheet-2
Scholastic averages sheet-2Scholastic averages sheet-2
Scholastic averages sheet-2
 
Eligibility criteria and instructions for Infosys Placement
Eligibility criteria and instructions for Infosys PlacementEligibility criteria and instructions for Infosys Placement
Eligibility criteria and instructions for Infosys Placement
 
Answers for 2 Marks Unit Test I (RMW)
Answers for 2 Marks Unit Test I (RMW)Answers for 2 Marks Unit Test I (RMW)
Answers for 2 Marks Unit Test I (RMW)
 
Anwers for 2 marks - RMW
Anwers for 2 marks - RMWAnwers for 2 marks - RMW
Anwers for 2 marks - RMW
 
Rmw unit test question papers
Rmw unit test question papersRmw unit test question papers
Rmw unit test question papers
 
Circular and semicircular cavity resonator
Circular and semicircular cavity resonatorCircular and semicircular cavity resonator
Circular and semicircular cavity resonator
 
HCL IPT
HCL IPTHCL IPT
HCL IPT
 
VLSI Sequential Circuits II
VLSI Sequential Circuits IIVLSI Sequential Circuits II
VLSI Sequential Circuits II
 
VI Semester Examination Time Table
VI Semester Examination Time TableVI Semester Examination Time Table
VI Semester Examination Time Table
 
Email
EmailEmail
Email
 
Antenna and Wave Propagation Assignment I
Antenna and Wave Propagation Assignment IAntenna and Wave Propagation Assignment I
Antenna and Wave Propagation Assignment I
 
Antenna and Wave Propagation Assignment I
Antenna and Wave Propagation Assignment IAntenna and Wave Propagation Assignment I
Antenna and Wave Propagation Assignment I
 
Computer Networks Unit Test II Questions
Computer Networks Unit Test II QuestionsComputer Networks Unit Test II Questions
Computer Networks Unit Test II Questions
 
Sequential Circuits I VLSI 9th experiment
Sequential Circuits I VLSI 9th experimentSequential Circuits I VLSI 9th experiment
Sequential Circuits I VLSI 9th experiment
 
Antenna Unit Test II Questions
Antenna Unit Test II QuestionsAntenna Unit Test II Questions
Antenna Unit Test II Questions
 
Antenna Unit Test II questions
Antenna Unit Test II questionsAntenna Unit Test II questions
Antenna Unit Test II questions
 
Combinational circuits II outputs
Combinational circuits II outputsCombinational circuits II outputs
Combinational circuits II outputs
 
POM Unit Test II - ECE B
POM Unit Test II - ECE BPOM Unit Test II - ECE B
POM Unit Test II - ECE B
 

Kürzlich hochgeladen

Seal of Good Local Governance (SGLG) 2024Final.pptx
Seal of Good Local Governance (SGLG) 2024Final.pptxSeal of Good Local Governance (SGLG) 2024Final.pptx
Seal of Good Local Governance (SGLG) 2024Final.pptx
negromaestrong
 
Gardella_PRCampaignConclusion Pitch Letter
Gardella_PRCampaignConclusion Pitch LetterGardella_PRCampaignConclusion Pitch Letter
Gardella_PRCampaignConclusion Pitch Letter
MateoGardella
 
An Overview of Mutual Funds Bcom Project.pdf
An Overview of Mutual Funds Bcom Project.pdfAn Overview of Mutual Funds Bcom Project.pdf
An Overview of Mutual Funds Bcom Project.pdf
SanaAli374401
 
Russian Escort Service in Delhi 11k Hotel Foreigner Russian Call Girls in Delhi
Russian Escort Service in Delhi 11k Hotel Foreigner Russian Call Girls in DelhiRussian Escort Service in Delhi 11k Hotel Foreigner Russian Call Girls in Delhi
Russian Escort Service in Delhi 11k Hotel Foreigner Russian Call Girls in Delhi
kauryashika82
 

Kürzlich hochgeladen (20)

Paris 2024 Olympic Geographies - an activity
Paris 2024 Olympic Geographies - an activityParis 2024 Olympic Geographies - an activity
Paris 2024 Olympic Geographies - an activity
 
Presentation by Andreas Schleicher Tackling the School Absenteeism Crisis 30 ...
Presentation by Andreas Schleicher Tackling the School Absenteeism Crisis 30 ...Presentation by Andreas Schleicher Tackling the School Absenteeism Crisis 30 ...
Presentation by Andreas Schleicher Tackling the School Absenteeism Crisis 30 ...
 
Mehran University Newsletter Vol-X, Issue-I, 2024
Mehran University Newsletter Vol-X, Issue-I, 2024Mehran University Newsletter Vol-X, Issue-I, 2024
Mehran University Newsletter Vol-X, Issue-I, 2024
 
SECOND SEMESTER TOPIC COVERAGE SY 2023-2024 Trends, Networks, and Critical Th...
SECOND SEMESTER TOPIC COVERAGE SY 2023-2024 Trends, Networks, and Critical Th...SECOND SEMESTER TOPIC COVERAGE SY 2023-2024 Trends, Networks, and Critical Th...
SECOND SEMESTER TOPIC COVERAGE SY 2023-2024 Trends, Networks, and Critical Th...
 
PROCESS RECORDING FORMAT.docx
PROCESS      RECORDING        FORMAT.docxPROCESS      RECORDING        FORMAT.docx
PROCESS RECORDING FORMAT.docx
 
Application orientated numerical on hev.ppt
Application orientated numerical on hev.pptApplication orientated numerical on hev.ppt
Application orientated numerical on hev.ppt
 
microwave assisted reaction. General introduction
microwave assisted reaction. General introductionmicrowave assisted reaction. General introduction
microwave assisted reaction. General introduction
 
Seal of Good Local Governance (SGLG) 2024Final.pptx
Seal of Good Local Governance (SGLG) 2024Final.pptxSeal of Good Local Governance (SGLG) 2024Final.pptx
Seal of Good Local Governance (SGLG) 2024Final.pptx
 
Gardella_PRCampaignConclusion Pitch Letter
Gardella_PRCampaignConclusion Pitch LetterGardella_PRCampaignConclusion Pitch Letter
Gardella_PRCampaignConclusion Pitch Letter
 
Explore beautiful and ugly buildings. Mathematics helps us create beautiful d...
Explore beautiful and ugly buildings. Mathematics helps us create beautiful d...Explore beautiful and ugly buildings. Mathematics helps us create beautiful d...
Explore beautiful and ugly buildings. Mathematics helps us create beautiful d...
 
Ecological Succession. ( ECOSYSTEM, B. Pharmacy, 1st Year, Sem-II, Environmen...
Ecological Succession. ( ECOSYSTEM, B. Pharmacy, 1st Year, Sem-II, Environmen...Ecological Succession. ( ECOSYSTEM, B. Pharmacy, 1st Year, Sem-II, Environmen...
Ecological Succession. ( ECOSYSTEM, B. Pharmacy, 1st Year, Sem-II, Environmen...
 
Web & Social Media Analytics Previous Year Question Paper.pdf
Web & Social Media Analytics Previous Year Question Paper.pdfWeb & Social Media Analytics Previous Year Question Paper.pdf
Web & Social Media Analytics Previous Year Question Paper.pdf
 
An Overview of Mutual Funds Bcom Project.pdf
An Overview of Mutual Funds Bcom Project.pdfAn Overview of Mutual Funds Bcom Project.pdf
An Overview of Mutual Funds Bcom Project.pdf
 
ICT Role in 21st Century Education & its Challenges.pptx
ICT Role in 21st Century Education & its Challenges.pptxICT Role in 21st Century Education & its Challenges.pptx
ICT Role in 21st Century Education & its Challenges.pptx
 
Advance Mobile Application Development class 07
Advance Mobile Application Development class 07Advance Mobile Application Development class 07
Advance Mobile Application Development class 07
 
SOCIAL AND HISTORICAL CONTEXT - LFTVD.pptx
SOCIAL AND HISTORICAL CONTEXT - LFTVD.pptxSOCIAL AND HISTORICAL CONTEXT - LFTVD.pptx
SOCIAL AND HISTORICAL CONTEXT - LFTVD.pptx
 
Basic Civil Engineering first year Notes- Chapter 4 Building.pptx
Basic Civil Engineering first year Notes- Chapter 4 Building.pptxBasic Civil Engineering first year Notes- Chapter 4 Building.pptx
Basic Civil Engineering first year Notes- Chapter 4 Building.pptx
 
Russian Escort Service in Delhi 11k Hotel Foreigner Russian Call Girls in Delhi
Russian Escort Service in Delhi 11k Hotel Foreigner Russian Call Girls in DelhiRussian Escort Service in Delhi 11k Hotel Foreigner Russian Call Girls in Delhi
Russian Escort Service in Delhi 11k Hotel Foreigner Russian Call Girls in Delhi
 
Nutritional Needs Presentation - HLTH 104
Nutritional Needs Presentation - HLTH 104Nutritional Needs Presentation - HLTH 104
Nutritional Needs Presentation - HLTH 104
 
Sports & Fitness Value Added Course FY..
Sports & Fitness Value Added Course FY..Sports & Fitness Value Added Course FY..
Sports & Fitness Value Added Course FY..
 

VLSI Anna University Practical Examination

  • 1. ANNA UNIVERSITY PRACTICAL EXAMINATION, APRIL 2011 SUB. CODE: EC2357 QUESTION PAPER SUB: VLSI DESIGN LAB TIME DURATION: 3 HOURS MAXIMUM MARKS: 100 1. a. Simulate a serial adder using Xilinx ISE 9.1i b. Implement a 8:3 encoder using XC3S400 FPGA trainer kit. 2. a. Simulate a PRBS generator using Xilinx ISE 9.1i b. Implement a half adder using XC3S400 FPGA trainer kit. 3. a. Simulate an accumulator using Xilinx ISE 9.1i b. Implement a full adder using XC3S400 FPGA trainer kit. 4. a. Draw the schematics of a CMOS NAND gate . Generate the layout automatically and and simulate it. b. Implement a 3:8 decoder using XC3S400 FPGA trainer kit. 5. .a. Simulate a synchronous updown counter using Xilinx ISE 9.1i b. Implement a 4 bit multiplier using XC3S400 FPGA trainer kit. 6. a. Simulate a universal shift register using Xilinx ISE 9.1i b. Implement a half subtractor using XC3S400 FPGA trainer kit. 7. a. Simulate a 8 bit adder using Xilinx ISE 9.1i b. Implement a 1:8 demultiplexer using XC3S400 FPGA trainer kit. 8. a. Simulate a JK flip-flop using Xilinx ISE 9.1i b. Implement a full subtractor using XC3S400 FPGA trainer kit 9. a. Simulate a SR flip-flop using Xilinx ISE 9.1i b. Implement a 4:1 multiplexer using XC3S400 FPGA trainer kit 10. a. Generate the layout of a CMOS inverter and simulate .Measure the dissipated power. Find also the dissipated power after adding 0.01 pf capacitor at the output. b. Simulate a 8 bit multiplier using Xilinx ISE 9.1i
  • 2. 11. a. Draw the schematics of the function F= AB + C (A+B) and simulate it.. Generate the SPICE file. b. Simulate a T flip flop using Xilinx ISE 9.1i 12. a . Draw the schematics of the function F= (AB + C)D . Generate the layout automatically and and simulate it. b. Simulate a D flip flop using Xilinx ISE 9.1i 13. a. Simulate a serial adder using Xilinx ISE 9.1i b. Implement a 4 bit multiplier using XC3S400 FPGA trainer kit. 14 a. Simulate a PRBS generator using Xilinx ISE 9.1 b. Implement a half subtractor using XC3S400 FPGA trainer kit. 15. a. Simulate an accumulator using Xilinx ISE 9.1i b. Implement a full subtractor using XC3S400 FPGA trainer kit. 16 a. Draw the schematics of a CMOS NOR gate . Generate the layout automatically and and simulate it. b. Implement a 1:8 demultiplexer using XC3S400 FPGA trainer kit. 17. a. Simulate a synchronous updown counter using Xilinx ISE 9.1i b. Implement a 4:1 multiplexer using XC3S400 FPGA trainer kit. 18. a. Simulate a universal shift register using Xilinx ISE 9.1i b. Implement a half adder using XC3S400 FPGA trainer kit. 19 a. Simulate a 8 bit adder using Xilinx ISE 9.1i b. Implement a 8:3 encoder using XC3S400 FPGA trainer kit. 20. a. Simulate a JK flip-flop using Xilinx ISE 9.1i b. Implement a full adder using XC3S400 FPGA trainer kit.
  • 3. 21. a. Simulate a SR flip-flop using Xilinx ISE 9.1i b. Implement a 3:8 decoder using XC3S400 FPGA trainer kit. 22. a. Generate the layout of a CMOS inverter and simulate .Measure the dissipated power. Find also the dissipated power after adding 0.1 pf capacitor at the output. b. Simulate a 6 bit multiplier using Xilinx ISE 9.1i 23. a. Draw the schematics of the function F= AB +B C +AC and simulate it.. Generate the Spice file. b. Simulate a T flip flop using Xilinx ISE 9.1i 24. a. Draw the schematics of the function F= AB C +D . Generate the layout automatically and and simulate it. b. Simulate a D flip flop using Xilinx ISE 9.1i