SlideShare ist ein Scribd-Unternehmen logo
1 von 8
Universidad del Valle de México Campus Cuernavaca        Dispositivos lógicos secuenciales



  PRÁCTICAS PROPUESTAS PARA GALS primer programa en WinCUPL.
¿Que es WinCUPL?

Es un potente compilador lógico para diseños realizados en Dispositivos
Lógicos Programables específicos, simples y complejos. El Universal Compiler
Programmable Logic tiene una IDE (Integrated Development Enviroment) y un
Simulador llamado WinSIM creados para la edición, el diseño y comprobación de
programación de dispositivos (como PLD y FPGA).


¿Como crear mi primer programa?

Primero necesitamos tener algo que resolver, en este caso una función lógica
dada por               .

Empecemos:

Una vez instalada la aplicación, buscamos el programa ejecutable en mis
programas en ATMEL WINCUPL dando click en WinCUPL.




Ing. Rafael Durán Campoamor                                                       Página 1
Universidad del Valle de México Campus Cuernavaca   Dispositivos lógicos secuenciales



A continuación se abrirá la siguiente

ventana.




Abrimos un nuevo proyecto en File y se

abre el siguiente cuadro de dialogo y

en nombre escribimos primero.




Ing. Rafael Durán Campoamor                                                  Página 2
Universidad del Valle de México Campus Cuernavaca                             Dispositivos lógicos secuenciales



Despues aparecerán 3 ventanas en el siguiente orden: pins de entrada, pins de
salida y pinnodess, en ellos detallaremos el número de entradas a utilizar, así
como su salida correspondiente.




                                                     Como tenemos 3 variables, seleccionamos 3

                                                     Entradas.




Como solo contamos con una salida,

Escribimos 1.




                                                     En pinnodess podemos dar 0 y continuar.




Ing. Rafael Durán Campoamor                                                                            Página 3
Universidad del Valle de México Campus Cuernavaca           Dispositivos lógicos secuenciales



Una vez completado el procedimiento anterior, aparece ya mi ventana de edición con
los datos proporcionados anteriormente.




Ing. Rafael Durán Campoamor                                                          Página 4
Universidad del Valle de México Campus Cuernavaca            Dispositivos lógicos secuenciales



Declaramos los pines del GAL 16v8a que contiene la siguiente configuración:

1 clk/in0; 2 a 9 input in1 a in8; 11 OE´; 12-19 IO0 a IO7; 10 gnd y 20 Vcc.

Como solo necesitamos 3 entradas y una salida, seleccionamos 1,2 y 3 como entradas
a,b y c respectivamente, y 12 como salida.



La función de salida f se escribe como sigue:

f= (!a & b) # (a & !c); en donde ! es la not, & es la and y # es la or.




Ing. Rafael Durán Campoamor                                                           Página 5
Universidad del Valle de México Campus Cuernavaca           Dispositivos lógicos secuenciales



Ahora basta compilar el programa para un dispositivo definido seleccionado en menú
Options.




Se deberá crear un archivo con extensión .jed o jedec dependiendo de la aplicación
y este será el que carguemos en el programador.




Ing. Rafael Durán Campoamor                                                          Página 6
Universidad del Valle de México Campus Cuernavaca                 Dispositivos lógicos secuenciales



Ahora unos ejemplo de cómo generar todas las funciones lógicas básicas con ese
mismo GAL 16V8A.

Name                   Gates;
Partno                 CA0001;
Revision               04;
Date                   9/12/89;
Designer               G. Woolhiser;
Company                Logical Devices, Inc.;
Location               None;
Assembly               None;
Device                 g16v8a;

***************************************************************/
/*
 * Inputs: define inputs to build simple gates from
 */

Pin 1 =        a;
Pin 2 =        b;

/*
 * Outputs:         define outputs as active HI levels
*/

Pin   12   =   inva;
Pin   13   =   invb;
Pin   14   =   and;
Pin   15   =   nand;
Pin   16   =   or;
Pin   17   =   nor;
Pin   18   =   xor;
Pin   19   =   xnor;


Ing. Rafael Durán Campoamor                                                                Página 7
Universidad del Valle de México Campus Cuernavaca                                                    Dispositivos lógicos secuenciales



/*
 * Logic:        examples of simple gates expressed in CUPL
 */

inva   =   !a;                 /* inverters */
invb   =   !b;
and    =   a &   b;            /*     and gate */
nand   =   !(a   & b);         /*     nand gate */
or     =   a #   b;            /*     or gate R9 R10
                                                */
nor    =   !(a   # b);         /*     nor gate */10k
                                              10k

xor    =   a $   b;            /*     exclusive or gate */
                                                       U1
xnor   =   !(a   $ b);         /*     exclusive nor1 gate */
                                                     2
                                                        CLK/I0
                                                                         19
                                    DSW1                   I1      IO0
                                                      3                  18
                                    OFF    ON              I2      IO1
                                6               1     4                  17
                                                           I3      IO2
                                5               2     5                  16
                                                           I4      IO3
                                4               3     6                  15
                                                           I5      IO4
                                                      7                  14
                                                           I6      IO5
                                    DIPSW_3           8                  13
                                                           I7      IO6
                                                      9                  12
                                                           I8      IO7
                                                     11
                                                           OE/I9
                                                          AM16V8

                                          R11
                                          10k
                                                                              R1    R2    R3    R4         R5     R6      R7      R8
                                                                              330   330   300   330        330    330     330     330




                                                                              A'    B'    AND   NAND       OR     NOR    XOR      XNOR




Ing. Rafael Durán Campoamor                                                                                                     Página 8

Weitere ähnliche Inhalte

Was ist angesagt?

MOSFET uso aplicaciones definicion
MOSFET uso aplicaciones definicionMOSFET uso aplicaciones definicion
MOSFET uso aplicaciones definicionJ'Luis Mata
 
Unidad III: Polos y Ceros de una función de transferencia.
Unidad III: Polos y Ceros de una función de transferencia.Unidad III: Polos y Ceros de una función de transferencia.
Unidad III: Polos y Ceros de una función de transferencia.Mayra Peña
 
54599266 ejercicios-flip-flops
54599266 ejercicios-flip-flops54599266 ejercicios-flip-flops
54599266 ejercicios-flip-flopsSENA-CIMI-GIRON
 
DiseñO De Un Contador Con Flip Flops Tipo Jk
DiseñO De Un Contador Con Flip Flops Tipo JkDiseñO De Un Contador Con Flip Flops Tipo Jk
DiseñO De Un Contador Con Flip Flops Tipo Jkguestff0bcb9e
 
Señales de tiempo continuo y discreto MATLAB
Señales de tiempo continuo y discreto MATLABSeñales de tiempo continuo y discreto MATLAB
Señales de tiempo continuo y discreto MATLABJose Agustin Estrada
 
Técnicas de programación en ensamblador pic16f877a repertorio 35 instrucciones
Técnicas de programación en ensamblador pic16f877a repertorio 35 instrucciones Técnicas de programación en ensamblador pic16f877a repertorio 35 instrucciones
Técnicas de programación en ensamblador pic16f877a repertorio 35 instrucciones Anllel Cardenas Yllanes
 
Asignación de Polos y Predictor de Smith
Asignación de Polos y Predictor de SmithAsignación de Polos y Predictor de Smith
Asignación de Polos y Predictor de SmithPaolo Castillo
 
El transistor bjt
El transistor bjtEl transistor bjt
El transistor bjtFenix Alome
 
Amplificadores multiplicadores
Amplificadores multiplicadoresAmplificadores multiplicadores
Amplificadores multiplicadoresZaiida Lozano
 
Sistema de control para llenado de tanques con microcontrolador pic
Sistema de control para llenado de tanques con microcontrolador picSistema de control para llenado de tanques con microcontrolador pic
Sistema de control para llenado de tanques con microcontrolador picRoberto Di Giacomo
 
Ejercicios de Multiplexores y decodificadores
Ejercicios de Multiplexores y decodificadoresEjercicios de Multiplexores y decodificadores
Ejercicios de Multiplexores y decodificadoresBertha Vega
 
Aplicaciones del Circuito TTL
Aplicaciones del Circuito TTLAplicaciones del Circuito TTL
Aplicaciones del Circuito TTLIvan Nietø
 
Guía de ejercicios resueltos y propuestos tema 4
Guía de ejercicios resueltos y propuestos tema 4Guía de ejercicios resueltos y propuestos tema 4
Guía de ejercicios resueltos y propuestos tema 4Luis Zurita
 
Procesamiento digital de señales con matlab
Procesamiento digital de señales con matlabProcesamiento digital de señales con matlab
Procesamiento digital de señales con matlabPercy Julio Chambi Pacco
 

Was ist angesagt? (20)

MOSFET uso aplicaciones definicion
MOSFET uso aplicaciones definicionMOSFET uso aplicaciones definicion
MOSFET uso aplicaciones definicion
 
Unidad III: Polos y Ceros de una función de transferencia.
Unidad III: Polos y Ceros de una función de transferencia.Unidad III: Polos y Ceros de una función de transferencia.
Unidad III: Polos y Ceros de una función de transferencia.
 
54599266 ejercicios-flip-flops
54599266 ejercicios-flip-flops54599266 ejercicios-flip-flops
54599266 ejercicios-flip-flops
 
DiseñO De Un Contador Con Flip Flops Tipo Jk
DiseñO De Un Contador Con Flip Flops Tipo JkDiseñO De Un Contador Con Flip Flops Tipo Jk
DiseñO De Un Contador Con Flip Flops Tipo Jk
 
Señales de tiempo continuo y discreto MATLAB
Señales de tiempo continuo y discreto MATLABSeñales de tiempo continuo y discreto MATLAB
Señales de tiempo continuo y discreto MATLAB
 
Los Flip Flops
Los Flip FlopsLos Flip Flops
Los Flip Flops
 
Recta de carga
Recta de cargaRecta de carga
Recta de carga
 
Familias lógicas digitales
Familias lógicas digitalesFamilias lógicas digitales
Familias lógicas digitales
 
Técnicas de programación en ensamblador pic16f877a repertorio 35 instrucciones
Técnicas de programación en ensamblador pic16f877a repertorio 35 instrucciones Técnicas de programación en ensamblador pic16f877a repertorio 35 instrucciones
Técnicas de programación en ensamblador pic16f877a repertorio 35 instrucciones
 
TTL-CMOS
TTL-CMOSTTL-CMOS
TTL-CMOS
 
Asignación de Polos y Predictor de Smith
Asignación de Polos y Predictor de SmithAsignación de Polos y Predictor de Smith
Asignación de Polos y Predictor de Smith
 
El transistor bjt
El transistor bjtEl transistor bjt
El transistor bjt
 
Amplificadores multiplicadores
Amplificadores multiplicadoresAmplificadores multiplicadores
Amplificadores multiplicadores
 
Sistema de control para llenado de tanques con microcontrolador pic
Sistema de control para llenado de tanques con microcontrolador picSistema de control para llenado de tanques con microcontrolador pic
Sistema de control para llenado de tanques con microcontrolador pic
 
Ejercicios de Multiplexores y decodificadores
Ejercicios de Multiplexores y decodificadoresEjercicios de Multiplexores y decodificadores
Ejercicios de Multiplexores y decodificadores
 
Aplicaciones del Circuito TTL
Aplicaciones del Circuito TTLAplicaciones del Circuito TTL
Aplicaciones del Circuito TTL
 
Guía de ejercicios resueltos y propuestos tema 4
Guía de ejercicios resueltos y propuestos tema 4Guía de ejercicios resueltos y propuestos tema 4
Guía de ejercicios resueltos y propuestos tema 4
 
Procesamiento digital de señales con matlab
Procesamiento digital de señales con matlabProcesamiento digital de señales con matlab
Procesamiento digital de señales con matlab
 
Amplificador Operacional Lab Nº4
Amplificador Operacional Lab Nº4Amplificador Operacional Lab Nº4
Amplificador Operacional Lab Nº4
 
3.5. Configuración en Colector Común
3.5. Configuración en Colector Común3.5. Configuración en Colector Común
3.5. Configuración en Colector Común
 

Andere mochten auch

Guarderia y gimnasio feelplay
Guarderia  y gimnasio feelplayGuarderia  y gimnasio feelplay
Guarderia y gimnasio feelplayKamila Orellana
 
Gal 22v10 Descripcion
Gal 22v10 DescripcionGal 22v10 Descripcion
Gal 22v10 DescripcionDiego Muela
 
Presentación Cómo montar tu empresa en 2 hs
Presentación Cómo montar tu empresa en 2 hsPresentación Cómo montar tu empresa en 2 hs
Presentación Cómo montar tu empresa en 2 hsZaragoza Activa
 
Quiero montar guardería_academia_ gimnasio...
Quiero montar guardería_academia_ gimnasio...Quiero montar guardería_academia_ gimnasio...
Quiero montar guardería_academia_ gimnasio...LicenciaActividad.es
 
Circuitos Logicos Combinacionales
Circuitos Logicos CombinacionalesCircuitos Logicos Combinacionales
Circuitos Logicos Combinacionalesguest1e528d
 
Programmable logic device (PLD)
Programmable logic device (PLD)Programmable logic device (PLD)
Programmable logic device (PLD)Sɐɐp ɐɥɯǝp
 
PLD’s (programmable logic device)
PLD’s (programmable logic device)PLD’s (programmable logic device)
PLD’s (programmable logic device)Carlos Solano
 
RPP Kelas 1. Kurikulum 2013. Tema : Keluargaku. Sub Tema : Kebersamaan dalam ...
RPP Kelas 1. Kurikulum 2013. Tema : Keluargaku. Sub Tema : Kebersamaan dalam ...RPP Kelas 1. Kurikulum 2013. Tema : Keluargaku. Sub Tema : Kebersamaan dalam ...
RPP Kelas 1. Kurikulum 2013. Tema : Keluargaku. Sub Tema : Kebersamaan dalam ...Edi B Mulyana
 

Andere mochten auch (13)

Guarderia y gimnasio feelplay
Guarderia  y gimnasio feelplayGuarderia  y gimnasio feelplay
Guarderia y gimnasio feelplay
 
Gal 22v10 Descripcion
Gal 22v10 DescripcionGal 22v10 Descripcion
Gal 22v10 Descripcion
 
Presentación Cómo montar tu empresa en 2 hs
Presentación Cómo montar tu empresa en 2 hsPresentación Cómo montar tu empresa en 2 hs
Presentación Cómo montar tu empresa en 2 hs
 
Gal
GalGal
Gal
 
Quiero montar guardería_academia_ gimnasio...
Quiero montar guardería_academia_ gimnasio...Quiero montar guardería_academia_ gimnasio...
Quiero montar guardería_academia_ gimnasio...
 
Circuitos Logicos Combinacionales
Circuitos Logicos CombinacionalesCircuitos Logicos Combinacionales
Circuitos Logicos Combinacionales
 
Pld
PldPld
Pld
 
Manual pld´s
Manual pld´sManual pld´s
Manual pld´s
 
Programmable logic device (PLD)
Programmable logic device (PLD)Programmable logic device (PLD)
Programmable logic device (PLD)
 
PLD’s (programmable logic device)
PLD’s (programmable logic device)PLD’s (programmable logic device)
PLD’s (programmable logic device)
 
RPP Kelas 1. Kurikulum 2013. Tema : Keluargaku. Sub Tema : Kebersamaan dalam ...
RPP Kelas 1. Kurikulum 2013. Tema : Keluargaku. Sub Tema : Kebersamaan dalam ...RPP Kelas 1. Kurikulum 2013. Tema : Keluargaku. Sub Tema : Kebersamaan dalam ...
RPP Kelas 1. Kurikulum 2013. Tema : Keluargaku. Sub Tema : Kebersamaan dalam ...
 
PLDs
PLDsPLDs
PLDs
 
Sumador\Restador
Sumador\RestadorSumador\Restador
Sumador\Restador
 

Ähnlich wie Primer programa en WinCUPL para diseñar funciones lógicas

Digitalio config 16f887a_886
Digitalio config 16f887a_886Digitalio config 16f887a_886
Digitalio config 16f887a_886luisvargasquinto
 
Introducción a plataformas de prototipado: Arduino (rev. 2)
Introducción a plataformas de prototipado: Arduino (rev. 2)Introducción a plataformas de prototipado: Arduino (rev. 2)
Introducción a plataformas de prototipado: Arduino (rev. 2)Sol Smart Open Lab
 
Multiplexeo dedisplay
Multiplexeo dedisplayMultiplexeo dedisplay
Multiplexeo dedisplaysystemgil
 
Construccion seguidor de línea por joaquín berrocal verano 2017
Construccion seguidor de línea por joaquín berrocal verano 2017Construccion seguidor de línea por joaquín berrocal verano 2017
Construccion seguidor de línea por joaquín berrocal verano 2017joaquinin1
 
Ciclo w r 24 lc16b
Ciclo w r 24 lc16bCiclo w r 24 lc16b
Ciclo w r 24 lc16bRafael Duran
 
Curso de programacion en c++ para microcontroladores pic 16 f87xx
Curso de programacion en c++ para microcontroladores pic 16 f87xxCurso de programacion en c++ para microcontroladores pic 16 f87xx
Curso de programacion en c++ para microcontroladores pic 16 f87xxJose Manuel Mansilla Carrasco
 
Curso de programacion en c++ para microcontroladores pic 16 f87xx
Curso de programacion en c++ para microcontroladores pic 16 f87xxCurso de programacion en c++ para microcontroladores pic 16 f87xx
Curso de programacion en c++ para microcontroladores pic 16 f87xxfreddymadriz
 
Curso de programacion en c++ para microcontroladores pic 16 f87xx
Curso de programacion en c++ para microcontroladores pic 16 f87xxCurso de programacion en c++ para microcontroladores pic 16 f87xx
Curso de programacion en c++ para microcontroladores pic 16 f87xxJose Manuel Mansilla Carrasco
 
Curso de microcontroladores capitulo 01
Curso de microcontroladores capitulo 01Curso de microcontroladores capitulo 01
Curso de microcontroladores capitulo 01Hamiltonn Casallas
 
Circuito biestable
Circuito biestable Circuito biestable
Circuito biestable Isabel Arce
 
Instalaciones eléctricas en viviendas 4º
Instalaciones eléctricas en viviendas 4ºInstalaciones eléctricas en viviendas 4º
Instalaciones eléctricas en viviendas 4ºKoldo Parra
 
Microcontroladores - Configuración de puertos, bucles y saltos
Microcontroladores - Configuración de puertos, bucles y saltosMicrocontroladores - Configuración de puertos, bucles y saltos
Microcontroladores - Configuración de puertos, bucles y saltosmarco calderon layme
 

Ähnlich wie Primer programa en WinCUPL para diseñar funciones lógicas (20)

Digitalio config 16f887a_886
Digitalio config 16f887a_886Digitalio config 16f887a_886
Digitalio config 16f887a_886
 
Circuitoselectrónicos
 Circuitoselectrónicos Circuitoselectrónicos
Circuitoselectrónicos
 
Introducción a plataformas de prototipado: Arduino (rev. 2)
Introducción a plataformas de prototipado: Arduino (rev. 2)Introducción a plataformas de prototipado: Arduino (rev. 2)
Introducción a plataformas de prototipado: Arduino (rev. 2)
 
Introducción a Arduino r2
Introducción a Arduino r2Introducción a Arduino r2
Introducción a Arduino r2
 
arduino 2.pptx
arduino 2.pptxarduino 2.pptx
arduino 2.pptx
 
Multiplexeo dedisplay
Multiplexeo dedisplayMultiplexeo dedisplay
Multiplexeo dedisplay
 
Lab 01 micro
Lab 01 microLab 01 micro
Lab 01 micro
 
Programación del PIC18F2550
Programación del PIC18F2550Programación del PIC18F2550
Programación del PIC18F2550
 
Construccion seguidor de línea por joaquín berrocal verano 2017
Construccion seguidor de línea por joaquín berrocal verano 2017Construccion seguidor de línea por joaquín berrocal verano 2017
Construccion seguidor de línea por joaquín berrocal verano 2017
 
Ciclo w r 24 lc16b
Ciclo w r 24 lc16bCiclo w r 24 lc16b
Ciclo w r 24 lc16b
 
Curso de programacion en c++ para microcontroladores pic 16 f87xx
Curso de programacion en c++ para microcontroladores pic 16 f87xxCurso de programacion en c++ para microcontroladores pic 16 f87xx
Curso de programacion en c++ para microcontroladores pic 16 f87xx
 
Curso de programacion en c++ para microcontroladores pic 16 f87xx
Curso de programacion en c++ para microcontroladores pic 16 f87xxCurso de programacion en c++ para microcontroladores pic 16 f87xx
Curso de programacion en c++ para microcontroladores pic 16 f87xx
 
Curso de programacion en c++ para microcontroladores pic 16 f87xx
Curso de programacion en c++ para microcontroladores pic 16 f87xxCurso de programacion en c++ para microcontroladores pic 16 f87xx
Curso de programacion en c++ para microcontroladores pic 16 f87xx
 
Curso de microcontroladores capitulo 01
Curso de microcontroladores capitulo 01Curso de microcontroladores capitulo 01
Curso de microcontroladores capitulo 01
 
Circuito biestable
Circuito biestable Circuito biestable
Circuito biestable
 
Atari 2600
Atari 2600Atari 2600
Atari 2600
 
Interfaz java y arduino
Interfaz java y arduinoInterfaz java y arduino
Interfaz java y arduino
 
Mini Proyecto Arduino
Mini Proyecto ArduinoMini Proyecto Arduino
Mini Proyecto Arduino
 
Instalaciones eléctricas en viviendas 4º
Instalaciones eléctricas en viviendas 4ºInstalaciones eléctricas en viviendas 4º
Instalaciones eléctricas en viviendas 4º
 
Microcontroladores - Configuración de puertos, bucles y saltos
Microcontroladores - Configuración de puertos, bucles y saltosMicrocontroladores - Configuración de puertos, bucles y saltos
Microcontroladores - Configuración de puertos, bucles y saltos
 

Mehr von Rafael Duran

Ft sistemas 1º y 2º orden
Ft sistemas  1º y 2º ordenFt sistemas  1º y 2º orden
Ft sistemas 1º y 2º ordenRafael Duran
 
Grafica de transitorio matlab e isis de proteus
Grafica de transitorio matlab e isis de proteusGrafica de transitorio matlab e isis de proteus
Grafica de transitorio matlab e isis de proteusRafael Duran
 
Ejemplo de filtros
Ejemplo de filtrosEjemplo de filtros
Ejemplo de filtrosRafael Duran
 
Circuito rl transitorio y frecuencia
Circuito rl transitorio y frecuenciaCircuito rl transitorio y frecuencia
Circuito rl transitorio y frecuenciaRafael Duran
 
Ley de voltajes de Kirchhoff (mallas)
Ley de voltajes de Kirchhoff (mallas)Ley de voltajes de Kirchhoff (mallas)
Ley de voltajes de Kirchhoff (mallas)Rafael Duran
 
Divisores de v e i
Divisores de v e iDivisores de v e i
Divisores de v e iRafael Duran
 
Apuntes filtros activos de 1er y 2o orden
Apuntes filtros activos de 1er y 2o ordenApuntes filtros activos de 1er y 2o orden
Apuntes filtros activos de 1er y 2o ordenRafael Duran
 
Practicas con gals
Practicas con galsPracticas con gals
Practicas con galsRafael Duran
 
Palabra de configuración
Palabra de configuraciónPalabra de configuración
Palabra de configuraciónRafael Duran
 
Arduino introducion
Arduino introducionArduino introducion
Arduino introducionRafael Duran
 
Practicas con arduino
Practicas con arduinoPracticas con arduino
Practicas con arduinoRafael Duran
 
Practicas con u p pic
Practicas con u p picPracticas con u p pic
Practicas con u p picRafael Duran
 
Practicas con arduino
Practicas con arduinoPracticas con arduino
Practicas con arduinoRafael Duran
 
Unidades i y ii dpm
Unidades i y ii dpmUnidades i y ii dpm
Unidades i y ii dpmRafael Duran
 

Mehr von Rafael Duran (20)

Ft sistemas 1º y 2º orden
Ft sistemas  1º y 2º ordenFt sistemas  1º y 2º orden
Ft sistemas 1º y 2º orden
 
Grafica de transitorio matlab e isis de proteus
Grafica de transitorio matlab e isis de proteusGrafica de transitorio matlab e isis de proteus
Grafica de transitorio matlab e isis de proteus
 
Voltaje eficaz
Voltaje eficazVoltaje eficaz
Voltaje eficaz
 
Ejemplo de filtros
Ejemplo de filtrosEjemplo de filtros
Ejemplo de filtros
 
Circuito rl transitorio y frecuencia
Circuito rl transitorio y frecuenciaCircuito rl transitorio y frecuencia
Circuito rl transitorio y frecuencia
 
Solución tarea 1
Solución tarea 1Solución tarea 1
Solución tarea 1
 
Ley de voltajes de Kirchhoff (mallas)
Ley de voltajes de Kirchhoff (mallas)Ley de voltajes de Kirchhoff (mallas)
Ley de voltajes de Kirchhoff (mallas)
 
Divisores de v e i
Divisores de v e iDivisores de v e i
Divisores de v e i
 
Apuntes filtros activos de 1er y 2o orden
Apuntes filtros activos de 1er y 2o ordenApuntes filtros activos de 1er y 2o orden
Apuntes filtros activos de 1er y 2o orden
 
Practicas con gals
Practicas con galsPracticas con gals
Practicas con gals
 
Palabra de configuración
Palabra de configuraciónPalabra de configuración
Palabra de configuración
 
Arduino introducion
Arduino introducionArduino introducion
Arduino introducion
 
Practicas con arduino
Practicas con arduinoPracticas con arduino
Practicas con arduino
 
Practicas con u p pic
Practicas con u p picPracticas con u p pic
Practicas con u p pic
 
Practicas con arduino
Practicas con arduinoPracticas con arduino
Practicas con arduino
 
Tutorial warp r4
Tutorial warp r4Tutorial warp r4
Tutorial warp r4
 
Unidades iii
Unidades iiiUnidades iii
Unidades iii
 
Unidades i y ii dpm
Unidades i y ii dpmUnidades i y ii dpm
Unidades i y ii dpm
 
Práctica nº4
Práctica nº4Práctica nº4
Práctica nº4
 
Práctica nº3
Práctica nº3Práctica nº3
Práctica nº3
 

Primer programa en WinCUPL para diseñar funciones lógicas

  • 1. Universidad del Valle de México Campus Cuernavaca Dispositivos lógicos secuenciales PRÁCTICAS PROPUESTAS PARA GALS primer programa en WinCUPL. ¿Que es WinCUPL? Es un potente compilador lógico para diseños realizados en Dispositivos Lógicos Programables específicos, simples y complejos. El Universal Compiler Programmable Logic tiene una IDE (Integrated Development Enviroment) y un Simulador llamado WinSIM creados para la edición, el diseño y comprobación de programación de dispositivos (como PLD y FPGA). ¿Como crear mi primer programa? Primero necesitamos tener algo que resolver, en este caso una función lógica dada por . Empecemos: Una vez instalada la aplicación, buscamos el programa ejecutable en mis programas en ATMEL WINCUPL dando click en WinCUPL. Ing. Rafael Durán Campoamor Página 1
  • 2. Universidad del Valle de México Campus Cuernavaca Dispositivos lógicos secuenciales A continuación se abrirá la siguiente ventana. Abrimos un nuevo proyecto en File y se abre el siguiente cuadro de dialogo y en nombre escribimos primero. Ing. Rafael Durán Campoamor Página 2
  • 3. Universidad del Valle de México Campus Cuernavaca Dispositivos lógicos secuenciales Despues aparecerán 3 ventanas en el siguiente orden: pins de entrada, pins de salida y pinnodess, en ellos detallaremos el número de entradas a utilizar, así como su salida correspondiente. Como tenemos 3 variables, seleccionamos 3 Entradas. Como solo contamos con una salida, Escribimos 1. En pinnodess podemos dar 0 y continuar. Ing. Rafael Durán Campoamor Página 3
  • 4. Universidad del Valle de México Campus Cuernavaca Dispositivos lógicos secuenciales Una vez completado el procedimiento anterior, aparece ya mi ventana de edición con los datos proporcionados anteriormente. Ing. Rafael Durán Campoamor Página 4
  • 5. Universidad del Valle de México Campus Cuernavaca Dispositivos lógicos secuenciales Declaramos los pines del GAL 16v8a que contiene la siguiente configuración: 1 clk/in0; 2 a 9 input in1 a in8; 11 OE´; 12-19 IO0 a IO7; 10 gnd y 20 Vcc. Como solo necesitamos 3 entradas y una salida, seleccionamos 1,2 y 3 como entradas a,b y c respectivamente, y 12 como salida. La función de salida f se escribe como sigue: f= (!a & b) # (a & !c); en donde ! es la not, & es la and y # es la or. Ing. Rafael Durán Campoamor Página 5
  • 6. Universidad del Valle de México Campus Cuernavaca Dispositivos lógicos secuenciales Ahora basta compilar el programa para un dispositivo definido seleccionado en menú Options. Se deberá crear un archivo con extensión .jed o jedec dependiendo de la aplicación y este será el que carguemos en el programador. Ing. Rafael Durán Campoamor Página 6
  • 7. Universidad del Valle de México Campus Cuernavaca Dispositivos lógicos secuenciales Ahora unos ejemplo de cómo generar todas las funciones lógicas básicas con ese mismo GAL 16V8A. Name Gates; Partno CA0001; Revision 04; Date 9/12/89; Designer G. Woolhiser; Company Logical Devices, Inc.; Location None; Assembly None; Device g16v8a; ***************************************************************/ /* * Inputs: define inputs to build simple gates from */ Pin 1 = a; Pin 2 = b; /* * Outputs: define outputs as active HI levels */ Pin 12 = inva; Pin 13 = invb; Pin 14 = and; Pin 15 = nand; Pin 16 = or; Pin 17 = nor; Pin 18 = xor; Pin 19 = xnor; Ing. Rafael Durán Campoamor Página 7
  • 8. Universidad del Valle de México Campus Cuernavaca Dispositivos lógicos secuenciales /* * Logic: examples of simple gates expressed in CUPL */ inva = !a; /* inverters */ invb = !b; and = a & b; /* and gate */ nand = !(a & b); /* nand gate */ or = a # b; /* or gate R9 R10 */ nor = !(a # b); /* nor gate */10k 10k xor = a $ b; /* exclusive or gate */ U1 xnor = !(a $ b); /* exclusive nor1 gate */ 2 CLK/I0 19 DSW1 I1 IO0 3 18 OFF ON I2 IO1 6 1 4 17 I3 IO2 5 2 5 16 I4 IO3 4 3 6 15 I5 IO4 7 14 I6 IO5 DIPSW_3 8 13 I7 IO6 9 12 I8 IO7 11 OE/I9 AM16V8 R11 10k R1 R2 R3 R4 R5 R6 R7 R8 330 330 300 330 330 330 330 330 A' B' AND NAND OR NOR XOR XNOR Ing. Rafael Durán Campoamor Página 8