SlideShare ist ein Scribd-Unternehmen logo
1 von 9
Resume
General personal information:
Family Name:Ou Yang Heng Name: Oyang
Date of Birth: Apr 02, 1975 Birth Place:Hunan
Sex: Male Marital Status: Married
Mobile-phone: 13538372364
E-mail: Oyang.H.OuYang@NXP.com
EDUCATION:
1990.9-1993.6 Senior middle school.
1993.9-1995.6 Metallurgy vestibule school of HengYang
Major: Mechanical engineering.
Degree: Middle technique school
2009.12-2011.12 Workers University of HengYang heavy-duty machinery of China Steel Group
Major: Mechanical-Electronical engineering
Degree: Adult College
2012.2 -2014-7 HuaZhong University of Science and Technology
Major: Business Administration
Degree: Bachelor
Work Experience:
 1995.6 –1999.4: Shenzhen advance mechanism
manufacture company (ASM China)
Company introduction: 3000x Employee, Corporation with foreign capital, Lead in Semi.
Assembly&test equipment solution
Position: Team leader and Senior Technician
Report To: Manufacture Supervisor
Subordinates: 5-15x Operator
>>Responsibilities:
- New machine part install/adjustment.
- Old machine part modification and upgrade.
-Training for Customer.
-Take leadership to lead assembly team to meet team KPI.
-Communication with design and Engineering team for assembly issue solve and assembly
process definition.
-Assist Supervisor for routine job arrangement and management.
>>Achievements:
- As team leader, working with team and continuous enhance practical operation skill and
operation efficiency, acquire much of semiconductor Front-end equipment basic knowledge and
technology during the four years accordingly.
 1999.4-2001.4 FoShan City Xing Yu mechanical-
electrical equipment company
Company introduction: 30xEmployee, Corporation with foreign capital,
Position: Sale Service and Technical support Engineer
Report To:Sale Manager
Subordinates: 5xTechnician
>>Responsibilities:
- New machine install/adjustment/buyoff.
- Old machine upgrade/renovation.
- Training for Customer.
- Onsite support.
- Regular visiting for Customer.
-Spare part management.
-Business expansion.
>>Achievements:
-whenever provide prompt and convenient service and full solution for customer in
semiconductor encapsulation field.
 2001.4 -Present PHILIPS& NXP
Semiconductors(GuangDong) company
Company introduction: 4000xEmployee, Corporation with foreign capital, Top20
Semiconductors Company.
Position: Staff Process Engineer
Report To:Engineering Manager/Project Leader
Subordinates: 5-45xTechnician, 1-3xEngineer
 Overview:
-Total 13.5 years in Semiconductor industry (Among of Equipment&maintenace& Process
Engineering &Transfer project management&Package Development)
- Currently as a process Specialist & project coordinator& Package Development under
Engineering development group which taking care of SOT&QFN packages
- Dealwith Customer, NPI,Design, Material and Process Development to qualify a new package
/ device
- Work out the SIP technology and package roadmap
- Manage and track all new material& package & project introduction activities across different
devices
- Coordinate transfer from Development to Production Qualification & Eng'g lot activities
- Ensure smooth package / device ramp up for customer devices
-Familiar with new product introduction, transfer,volume manufacturing, yield improvement,
cost down, failure analysis, customer complaint/returns, subcontractors supplier management,
Good NPI knowledge, project management, supplier management, and customer support;
-Good people management and communication skill, Build up ME/PE team from 5~16
Technicians/engineers in Philips/NXP Semiconductors.
-Experienced in QA system, compliance, audit, and engineering methods: 8D, QC 7 tools, FMEA,
DOE,SPC, 6 sigma and Kaizen continuous improvements, Skill in CI method to solve and
analyze the problem in production. Be good at Minitab, Jump analysis TCM, OCAP management
and advanced TPM management.
-Have good leadership experience in manufacturing environment, Strong sense of responsibility,
self-motivated and good teamwork, Willing to accept different opinions, Have a good work
attitude and can be co-operation with colleague and leaders.
-Good at English reading and writing, common at listening and speaking. Fluency in Chinese and
Cantonese
 Job description:
>>EM Part ofEM Leader (~5years from 2001.4- 2006.12):
- Lead EM team to achieve the Online maintenance task in Wafer mounting/Dicing/Die
bonding/Wire bonding/Molding/Singulation machine, downtime rate improvement, Spare- part
management and utilization improvement, support MFG for output, OEE, assembly yield
improvement, support QA for quality improvement and customer complaint improvement,
support PE for trial running machine setup, DOE......; working CFT team,cost saving team.
Focus on Wafer mounting/Dicing/Die bonding/Wire bonding/Molding/Singulation
- Lead PM team to finish PM according to PM schedule,and monitor machine production
performance and feedback to EM supervisor.
- Lead Offline maintenance team to support key part adjustment/renovation/fabrication.
>>Process Part ofProcess Engineer (~3years from 2007.1-2010.1):
-Take leadership to focus on the online production support, DEE enhance, process capability
improvement for production in Die sawing/ Die bonding/Wire bonding/plasma/singulation in
BIM&STS& Small QFN packages.
- Contribute to assembly line quality improvement and customer complaint reduction.
- MI, WI, FMEA documents review and OPL issue.
- Coordinate with BL/CSC/IS and product engineer in new material and new type product
introduction.
-Support external & internal improvement action. Share and learning between wafer fab and other
assembly sites.
- Training and sustain subordinate’ and assembly people positive morale and high working
performance.
- Communicate and cooperation with other departments for overall yield improvement and
routine operation.
- Working with IQC to drive up incoming material quality improvement.
- New material release for cost saving.
- New tooling introduction and release.
-Take leadership for DAF performance in D/B improvement team,and working in FE CFT
improvement team.
-D/W machine speed up.
- New bonding technology qual. and release.
- New machine qual. and release.
>>Project part ofProject Leader( ~2years from 2010.1-2012.12):
Project planning, project resource arrangement,project progress monitor, communication with
BL&APHK&APGinternal team, training planning, training arrangement, investment planning,
work shopping renovation, Equipment selection& order, pilot line setup&release; study sample
making, engineering sample making, Qual. sample making, PCN sample making, safe launch,
risk production, mass production follow; documentation preparation and follow, system setup and
follow…..ect.
-Project description:
MCD(small QFN) is the thinner package in Semiconductor; MCD transfer project include process
knowledge and equipment transfer from HK company, APGneed to setup the pilot line to Qual.
MCD package and issue PCN to customer for this project. At that time, MCD total including
6xsub-package cover to~ 300 types device for Samsung, APPLE,Huawei,TCL,
Haier......electronic consumption Top supplier.
-Project responsibility:
Project planning, project resource arrangement,project progress monitor, communication with
BL&APHK&APGinternal team, training planning, training arrangement, investment planning,
work shopping renovation, Equipment selection& order, pilot line setup&release,machine
transfer arrangement,transferred machine setup/release,risk production&mass production
perfromance monitor,
>>NPI/Project Coordinate ofStaff Engineer (~2years from 2013.1- to now)
- Currently as a process Specialist & project coordinator& Package Development under
Engineering development group which taking care of new QFN packages in new
Glue/WBC/DAF development in ASM Die bonder with large L/F.
- Interface with TID/BL, NPI,Design, Material and Process Development to qualify a new
package / device
-Support to work out the SIP technology and package roadmap
-Working with team to track all new material& package & project introduction activities across
different devices
- Coordinate transfer from Development to Production Qualification & Eng' lot activities.
- As site representative for New Lead-frame&Backside Tape qualification in NXP
- Overall responsible for new Lead-frame&Backside of QFN package in APG
- Qualification for new Lead-frame&Backside from beginning Design Feasibility Study (DFS),
Feasibility, DOE, Qual., Pre-prod & up Prod. lot running
- Interface with TID / BL response - - working with team to lead the development of the Large
L/F Die bonding process in ASM Die bonding including new business strategy, product transfer
& existing BIM package convert to STS package.
 Achievements:
>>EM Part ofEM Leader (~5years from 2001.4- 2006.12):
1. 100% spart part localization.
2. 20% spart part made in self.
3. 0 shutdown in all of worked 15years'Die bonding/Wire bonding/Dicing machine.
5. Maintain 85% DEE and control Down time rate <5% continuous 3years.
4. Training over 40xTechnicians and 30% grow up to EM team core member.
>>Process Part ofProcess Engineer (~3years from 2007.1-2010.1):
1. Introduced and released the DAD360/361/3350&DFD6340/641 DISCO die sawing machine &
DISCO/K&S different series blade and K&S dresser &step cut/double cut/circle cut to mass
production(75um thickness wafer to 375 thicknessum wafer of 4,5,6,8Inc. wafer) successfully,
and keep the Die sawing 0 customer complaint.
2. Introduced and released the Eutectic/glue/WBC/DAF Die bonding in TO,SOT,DFN,QFN
package D/B process with ITEC/TOSO/ASM Die bonder to mass production(Die size 0.23*0.23-
2*2mm, Die thickness:75um-375um, Die backside: Ag, Au, AuAs,WBC,DAF) successfully, and
keep the D/B in-process PPM within 50PPM and 0 customer complaint.
3. Introduced and released the BOB,BSOB,Small bonding....etc new W/B technology in TO,SOT,
DFN,QFN package Au W/B process to mass production successfully, and keep the W/B in-
Process PPM within 100PPM.
4. Introduced and released the DAD3350/DFD641 DISCO Singulation machine & K&S different
series blade&Chopping cut to mass production successfully, and keep the singulation 0 customer
complaint.
5. Introduced and released the KQ,APL,PSE,SLC,Mitsui,ASM L/F& Hitachi,Tomoegawa,Nitto
L/F backside tape&Tanaka,Heraues Au/Cu wire&Henkel,Sumitomo glue...Etc BOM to mass
production, and achieve 10% cost saving in the second source Qual.
6. Introduced and released the SEMICON/R-SEMICON/Micro-
Mechanics/DLT/K&S/PECO....ect Tooling to mass production, and achieve 10% cost saving in
the second source Qual.
7. Lead CFT improvement team&BIC improvement team to drive process capapility
improvement and business improvement, and win Champion many times in APGof NXP.
8. Training and devlopment over 40x EM Technicians, 10xPE Technicians, 5xEnigneer, and lots
of OP growing to core member.
9. Gain "process Expert" and take the special allowance per Quarter in NXP in 2013.
>>Project part ofProject Leader( ~2years from 2010.1-2012.12):
1. Lead the transfer Team from 2010 to 2012, introduce the MCD of DFN package in APGand
transfer all of knowledge& machine from APHK to APGsuccessfully, achieve good performance
in Quality, cost, training, TPT....etc,Specially, the MCD demand increase from 6M/day to
18M/day in 2012 end sharply and the DFPC reduce from ~10USD/K in 2011 to ~4USD/K in
2012 end, but keep 0 case Customer complaint during transfer.
2. Working in SOD882D/1608/SOT883B/SOD882D3/SOT1226/SOT1230/SOT1255 NPI project
and Qual. and released these new packages to mass production to support company business
expansion.
3. Lead the 3M tape Qual. team, introduced and released the 3M tape in MCD successfully.
achieve 7% cost saving, total obtain ~800kUSD/year.
4. Working MCD copper wire project, the first conversion Cu wire in DFN package in Market,
passed the Qual. sample making and Reliabilty test,the PCN sample is ongoing, expect risk mass
production in 2014 Q1.
5. Working in STS project, to develop 300*80*0.1mm L/F STS to replace current BIM in trad
SOT23 package,expect finish technical study in 2014.
>>NPI/Project Coordinate ofStaff Engineer (~2years from 2013.1- to now)
- Working in SOD882D/1608/SOT883B/SOD882D3/SOT1226/SOT1230/SOT1255 NPI project
and Qual. and released these new packages to mass production to support company business
expansion.
- Lead the 3M tape Qual. team, introduced and released the 3M tape in MCD successfully.
Achieve 7% cost saving, total obtain ~800kUSD/year.
-Working in STS project, to develop 300*80*0.1mm L/F STS to replace current BIM in
conventional SOT23 package,and completed preliminary study and get positive result in ASM
AD838.
Summary:
Good application between NPI and mass production Process capability improvement, familiar in
New material/tool/machine/process/package introduction; rick experience in transfer project
management and complex problem solving. Sensitive to new thing and technology. take the
"Raising the bar, Engaging Curiosity, Taking Initiative, Working Together, Developing Deep
Core Competence" for own working motto.
Kills & Expertise
 Manufacturing
 FMEA
 DOE
 Process Simulation
 Yield
 Materials
 Six Sigma
 Leadership
 Failure Analysis
 Process improvement
 Training
 Machine & Tools
 PMP
 Quality improvement
 Equipment management
 Cross Function improvement
 NPI

Weitere ähnliche Inhalte

Empfohlen

Content Methodology: A Best Practices Report (Webinar)
Content Methodology: A Best Practices Report (Webinar)Content Methodology: A Best Practices Report (Webinar)
Content Methodology: A Best Practices Report (Webinar)contently
 
How to Prepare For a Successful Job Search for 2024
How to Prepare For a Successful Job Search for 2024How to Prepare For a Successful Job Search for 2024
How to Prepare For a Successful Job Search for 2024Albert Qian
 
Social Media Marketing Trends 2024 // The Global Indie Insights
Social Media Marketing Trends 2024 // The Global Indie InsightsSocial Media Marketing Trends 2024 // The Global Indie Insights
Social Media Marketing Trends 2024 // The Global Indie InsightsKurio // The Social Media Age(ncy)
 
Trends In Paid Search: Navigating The Digital Landscape In 2024
Trends In Paid Search: Navigating The Digital Landscape In 2024Trends In Paid Search: Navigating The Digital Landscape In 2024
Trends In Paid Search: Navigating The Digital Landscape In 2024Search Engine Journal
 
5 Public speaking tips from TED - Visualized summary
5 Public speaking tips from TED - Visualized summary5 Public speaking tips from TED - Visualized summary
5 Public speaking tips from TED - Visualized summarySpeakerHub
 
ChatGPT and the Future of Work - Clark Boyd
ChatGPT and the Future of Work - Clark Boyd ChatGPT and the Future of Work - Clark Boyd
ChatGPT and the Future of Work - Clark Boyd Clark Boyd
 
Getting into the tech field. what next
Getting into the tech field. what next Getting into the tech field. what next
Getting into the tech field. what next Tessa Mero
 
Google's Just Not That Into You: Understanding Core Updates & Search Intent
Google's Just Not That Into You: Understanding Core Updates & Search IntentGoogle's Just Not That Into You: Understanding Core Updates & Search Intent
Google's Just Not That Into You: Understanding Core Updates & Search IntentLily Ray
 
Time Management & Productivity - Best Practices
Time Management & Productivity -  Best PracticesTime Management & Productivity -  Best Practices
Time Management & Productivity - Best PracticesVit Horky
 
The six step guide to practical project management
The six step guide to practical project managementThe six step guide to practical project management
The six step guide to practical project managementMindGenius
 
Beginners Guide to TikTok for Search - Rachel Pearson - We are Tilt __ Bright...
Beginners Guide to TikTok for Search - Rachel Pearson - We are Tilt __ Bright...Beginners Guide to TikTok for Search - Rachel Pearson - We are Tilt __ Bright...
Beginners Guide to TikTok for Search - Rachel Pearson - We are Tilt __ Bright...RachelPearson36
 
Unlocking the Power of ChatGPT and AI in Testing - A Real-World Look, present...
Unlocking the Power of ChatGPT and AI in Testing - A Real-World Look, present...Unlocking the Power of ChatGPT and AI in Testing - A Real-World Look, present...
Unlocking the Power of ChatGPT and AI in Testing - A Real-World Look, present...Applitools
 
12 Ways to Increase Your Influence at Work
12 Ways to Increase Your Influence at Work12 Ways to Increase Your Influence at Work
12 Ways to Increase Your Influence at WorkGetSmarter
 
Ride the Storm: Navigating Through Unstable Periods / Katerina Rudko (Belka G...
Ride the Storm: Navigating Through Unstable Periods / Katerina Rudko (Belka G...Ride the Storm: Navigating Through Unstable Periods / Katerina Rudko (Belka G...
Ride the Storm: Navigating Through Unstable Periods / Katerina Rudko (Belka G...DevGAMM Conference
 
Barbie - Brand Strategy Presentation
Barbie - Brand Strategy PresentationBarbie - Brand Strategy Presentation
Barbie - Brand Strategy PresentationErica Santiago
 
Good Stuff Happens in 1:1 Meetings: Why you need them and how to do them well
Good Stuff Happens in 1:1 Meetings: Why you need them and how to do them wellGood Stuff Happens in 1:1 Meetings: Why you need them and how to do them well
Good Stuff Happens in 1:1 Meetings: Why you need them and how to do them wellSaba Software
 

Empfohlen (20)

Content Methodology: A Best Practices Report (Webinar)
Content Methodology: A Best Practices Report (Webinar)Content Methodology: A Best Practices Report (Webinar)
Content Methodology: A Best Practices Report (Webinar)
 
How to Prepare For a Successful Job Search for 2024
How to Prepare For a Successful Job Search for 2024How to Prepare For a Successful Job Search for 2024
How to Prepare For a Successful Job Search for 2024
 
Social Media Marketing Trends 2024 // The Global Indie Insights
Social Media Marketing Trends 2024 // The Global Indie InsightsSocial Media Marketing Trends 2024 // The Global Indie Insights
Social Media Marketing Trends 2024 // The Global Indie Insights
 
Trends In Paid Search: Navigating The Digital Landscape In 2024
Trends In Paid Search: Navigating The Digital Landscape In 2024Trends In Paid Search: Navigating The Digital Landscape In 2024
Trends In Paid Search: Navigating The Digital Landscape In 2024
 
5 Public speaking tips from TED - Visualized summary
5 Public speaking tips from TED - Visualized summary5 Public speaking tips from TED - Visualized summary
5 Public speaking tips from TED - Visualized summary
 
ChatGPT and the Future of Work - Clark Boyd
ChatGPT and the Future of Work - Clark Boyd ChatGPT and the Future of Work - Clark Boyd
ChatGPT and the Future of Work - Clark Boyd
 
Getting into the tech field. what next
Getting into the tech field. what next Getting into the tech field. what next
Getting into the tech field. what next
 
Google's Just Not That Into You: Understanding Core Updates & Search Intent
Google's Just Not That Into You: Understanding Core Updates & Search IntentGoogle's Just Not That Into You: Understanding Core Updates & Search Intent
Google's Just Not That Into You: Understanding Core Updates & Search Intent
 
How to have difficult conversations
How to have difficult conversations How to have difficult conversations
How to have difficult conversations
 
Introduction to Data Science
Introduction to Data ScienceIntroduction to Data Science
Introduction to Data Science
 
Time Management & Productivity - Best Practices
Time Management & Productivity -  Best PracticesTime Management & Productivity -  Best Practices
Time Management & Productivity - Best Practices
 
The six step guide to practical project management
The six step guide to practical project managementThe six step guide to practical project management
The six step guide to practical project management
 
Beginners Guide to TikTok for Search - Rachel Pearson - We are Tilt __ Bright...
Beginners Guide to TikTok for Search - Rachel Pearson - We are Tilt __ Bright...Beginners Guide to TikTok for Search - Rachel Pearson - We are Tilt __ Bright...
Beginners Guide to TikTok for Search - Rachel Pearson - We are Tilt __ Bright...
 
Unlocking the Power of ChatGPT and AI in Testing - A Real-World Look, present...
Unlocking the Power of ChatGPT and AI in Testing - A Real-World Look, present...Unlocking the Power of ChatGPT and AI in Testing - A Real-World Look, present...
Unlocking the Power of ChatGPT and AI in Testing - A Real-World Look, present...
 
12 Ways to Increase Your Influence at Work
12 Ways to Increase Your Influence at Work12 Ways to Increase Your Influence at Work
12 Ways to Increase Your Influence at Work
 
ChatGPT webinar slides
ChatGPT webinar slidesChatGPT webinar slides
ChatGPT webinar slides
 
More than Just Lines on a Map: Best Practices for U.S Bike Routes
More than Just Lines on a Map: Best Practices for U.S Bike RoutesMore than Just Lines on a Map: Best Practices for U.S Bike Routes
More than Just Lines on a Map: Best Practices for U.S Bike Routes
 
Ride the Storm: Navigating Through Unstable Periods / Katerina Rudko (Belka G...
Ride the Storm: Navigating Through Unstable Periods / Katerina Rudko (Belka G...Ride the Storm: Navigating Through Unstable Periods / Katerina Rudko (Belka G...
Ride the Storm: Navigating Through Unstable Periods / Katerina Rudko (Belka G...
 
Barbie - Brand Strategy Presentation
Barbie - Brand Strategy PresentationBarbie - Brand Strategy Presentation
Barbie - Brand Strategy Presentation
 
Good Stuff Happens in 1:1 Meetings: Why you need them and how to do them well
Good Stuff Happens in 1:1 Meetings: Why you need them and how to do them wellGood Stuff Happens in 1:1 Meetings: Why you need them and how to do them well
Good Stuff Happens in 1:1 Meetings: Why you need them and how to do them well
 

CV---Oyang Overall

  • 1. Resume General personal information: Family Name:Ou Yang Heng Name: Oyang Date of Birth: Apr 02, 1975 Birth Place:Hunan Sex: Male Marital Status: Married Mobile-phone: 13538372364 E-mail: Oyang.H.OuYang@NXP.com EDUCATION: 1990.9-1993.6 Senior middle school. 1993.9-1995.6 Metallurgy vestibule school of HengYang Major: Mechanical engineering. Degree: Middle technique school 2009.12-2011.12 Workers University of HengYang heavy-duty machinery of China Steel Group Major: Mechanical-Electronical engineering Degree: Adult College 2012.2 -2014-7 HuaZhong University of Science and Technology Major: Business Administration Degree: Bachelor
  • 2. Work Experience:  1995.6 –1999.4: Shenzhen advance mechanism manufacture company (ASM China) Company introduction: 3000x Employee, Corporation with foreign capital, Lead in Semi. Assembly&test equipment solution Position: Team leader and Senior Technician Report To: Manufacture Supervisor Subordinates: 5-15x Operator >>Responsibilities: - New machine part install/adjustment. - Old machine part modification and upgrade. -Training for Customer. -Take leadership to lead assembly team to meet team KPI. -Communication with design and Engineering team for assembly issue solve and assembly process definition. -Assist Supervisor for routine job arrangement and management. >>Achievements: - As team leader, working with team and continuous enhance practical operation skill and operation efficiency, acquire much of semiconductor Front-end equipment basic knowledge and technology during the four years accordingly.
  • 3.  1999.4-2001.4 FoShan City Xing Yu mechanical- electrical equipment company Company introduction: 30xEmployee, Corporation with foreign capital, Position: Sale Service and Technical support Engineer Report To:Sale Manager Subordinates: 5xTechnician >>Responsibilities: - New machine install/adjustment/buyoff. - Old machine upgrade/renovation. - Training for Customer. - Onsite support. - Regular visiting for Customer. -Spare part management. -Business expansion. >>Achievements: -whenever provide prompt and convenient service and full solution for customer in semiconductor encapsulation field.
  • 4.  2001.4 -Present PHILIPS& NXP Semiconductors(GuangDong) company Company introduction: 4000xEmployee, Corporation with foreign capital, Top20 Semiconductors Company. Position: Staff Process Engineer Report To:Engineering Manager/Project Leader Subordinates: 5-45xTechnician, 1-3xEngineer  Overview: -Total 13.5 years in Semiconductor industry (Among of Equipment&maintenace& Process Engineering &Transfer project management&Package Development) - Currently as a process Specialist & project coordinator& Package Development under Engineering development group which taking care of SOT&QFN packages - Dealwith Customer, NPI,Design, Material and Process Development to qualify a new package / device - Work out the SIP technology and package roadmap - Manage and track all new material& package & project introduction activities across different devices - Coordinate transfer from Development to Production Qualification & Eng'g lot activities - Ensure smooth package / device ramp up for customer devices -Familiar with new product introduction, transfer,volume manufacturing, yield improvement, cost down, failure analysis, customer complaint/returns, subcontractors supplier management, Good NPI knowledge, project management, supplier management, and customer support; -Good people management and communication skill, Build up ME/PE team from 5~16 Technicians/engineers in Philips/NXP Semiconductors. -Experienced in QA system, compliance, audit, and engineering methods: 8D, QC 7 tools, FMEA, DOE,SPC, 6 sigma and Kaizen continuous improvements, Skill in CI method to solve and analyze the problem in production. Be good at Minitab, Jump analysis TCM, OCAP management and advanced TPM management. -Have good leadership experience in manufacturing environment, Strong sense of responsibility, self-motivated and good teamwork, Willing to accept different opinions, Have a good work attitude and can be co-operation with colleague and leaders.
  • 5. -Good at English reading and writing, common at listening and speaking. Fluency in Chinese and Cantonese  Job description: >>EM Part ofEM Leader (~5years from 2001.4- 2006.12): - Lead EM team to achieve the Online maintenance task in Wafer mounting/Dicing/Die bonding/Wire bonding/Molding/Singulation machine, downtime rate improvement, Spare- part management and utilization improvement, support MFG for output, OEE, assembly yield improvement, support QA for quality improvement and customer complaint improvement, support PE for trial running machine setup, DOE......; working CFT team,cost saving team. Focus on Wafer mounting/Dicing/Die bonding/Wire bonding/Molding/Singulation - Lead PM team to finish PM according to PM schedule,and monitor machine production performance and feedback to EM supervisor. - Lead Offline maintenance team to support key part adjustment/renovation/fabrication. >>Process Part ofProcess Engineer (~3years from 2007.1-2010.1): -Take leadership to focus on the online production support, DEE enhance, process capability improvement for production in Die sawing/ Die bonding/Wire bonding/plasma/singulation in BIM&STS& Small QFN packages. - Contribute to assembly line quality improvement and customer complaint reduction. - MI, WI, FMEA documents review and OPL issue. - Coordinate with BL/CSC/IS and product engineer in new material and new type product introduction. -Support external & internal improvement action. Share and learning between wafer fab and other assembly sites. - Training and sustain subordinate’ and assembly people positive morale and high working performance. - Communicate and cooperation with other departments for overall yield improvement and routine operation. - Working with IQC to drive up incoming material quality improvement. - New material release for cost saving. - New tooling introduction and release.
  • 6. -Take leadership for DAF performance in D/B improvement team,and working in FE CFT improvement team. -D/W machine speed up. - New bonding technology qual. and release. - New machine qual. and release. >>Project part ofProject Leader( ~2years from 2010.1-2012.12): Project planning, project resource arrangement,project progress monitor, communication with BL&APHK&APGinternal team, training planning, training arrangement, investment planning, work shopping renovation, Equipment selection& order, pilot line setup&release; study sample making, engineering sample making, Qual. sample making, PCN sample making, safe launch, risk production, mass production follow; documentation preparation and follow, system setup and follow…..ect. -Project description: MCD(small QFN) is the thinner package in Semiconductor; MCD transfer project include process knowledge and equipment transfer from HK company, APGneed to setup the pilot line to Qual. MCD package and issue PCN to customer for this project. At that time, MCD total including 6xsub-package cover to~ 300 types device for Samsung, APPLE,Huawei,TCL, Haier......electronic consumption Top supplier. -Project responsibility: Project planning, project resource arrangement,project progress monitor, communication with BL&APHK&APGinternal team, training planning, training arrangement, investment planning, work shopping renovation, Equipment selection& order, pilot line setup&release,machine transfer arrangement,transferred machine setup/release,risk production&mass production perfromance monitor, >>NPI/Project Coordinate ofStaff Engineer (~2years from 2013.1- to now) - Currently as a process Specialist & project coordinator& Package Development under Engineering development group which taking care of new QFN packages in new Glue/WBC/DAF development in ASM Die bonder with large L/F. - Interface with TID/BL, NPI,Design, Material and Process Development to qualify a new package / device -Support to work out the SIP technology and package roadmap -Working with team to track all new material& package & project introduction activities across
  • 7. different devices - Coordinate transfer from Development to Production Qualification & Eng' lot activities. - As site representative for New Lead-frame&Backside Tape qualification in NXP - Overall responsible for new Lead-frame&Backside of QFN package in APG - Qualification for new Lead-frame&Backside from beginning Design Feasibility Study (DFS), Feasibility, DOE, Qual., Pre-prod & up Prod. lot running - Interface with TID / BL response - - working with team to lead the development of the Large L/F Die bonding process in ASM Die bonding including new business strategy, product transfer & existing BIM package convert to STS package.  Achievements: >>EM Part ofEM Leader (~5years from 2001.4- 2006.12): 1. 100% spart part localization. 2. 20% spart part made in self. 3. 0 shutdown in all of worked 15years'Die bonding/Wire bonding/Dicing machine. 5. Maintain 85% DEE and control Down time rate <5% continuous 3years. 4. Training over 40xTechnicians and 30% grow up to EM team core member. >>Process Part ofProcess Engineer (~3years from 2007.1-2010.1): 1. Introduced and released the DAD360/361/3350&DFD6340/641 DISCO die sawing machine & DISCO/K&S different series blade and K&S dresser &step cut/double cut/circle cut to mass production(75um thickness wafer to 375 thicknessum wafer of 4,5,6,8Inc. wafer) successfully, and keep the Die sawing 0 customer complaint. 2. Introduced and released the Eutectic/glue/WBC/DAF Die bonding in TO,SOT,DFN,QFN package D/B process with ITEC/TOSO/ASM Die bonder to mass production(Die size 0.23*0.23- 2*2mm, Die thickness:75um-375um, Die backside: Ag, Au, AuAs,WBC,DAF) successfully, and keep the D/B in-process PPM within 50PPM and 0 customer complaint. 3. Introduced and released the BOB,BSOB,Small bonding....etc new W/B technology in TO,SOT, DFN,QFN package Au W/B process to mass production successfully, and keep the W/B in- Process PPM within 100PPM. 4. Introduced and released the DAD3350/DFD641 DISCO Singulation machine & K&S different series blade&Chopping cut to mass production successfully, and keep the singulation 0 customer complaint. 5. Introduced and released the KQ,APL,PSE,SLC,Mitsui,ASM L/F& Hitachi,Tomoegawa,Nitto L/F backside tape&Tanaka,Heraues Au/Cu wire&Henkel,Sumitomo glue...Etc BOM to mass production, and achieve 10% cost saving in the second source Qual. 6. Introduced and released the SEMICON/R-SEMICON/Micro- Mechanics/DLT/K&S/PECO....ect Tooling to mass production, and achieve 10% cost saving in the second source Qual. 7. Lead CFT improvement team&BIC improvement team to drive process capapility improvement and business improvement, and win Champion many times in APGof NXP. 8. Training and devlopment over 40x EM Technicians, 10xPE Technicians, 5xEnigneer, and lots of OP growing to core member.
  • 8. 9. Gain "process Expert" and take the special allowance per Quarter in NXP in 2013. >>Project part ofProject Leader( ~2years from 2010.1-2012.12): 1. Lead the transfer Team from 2010 to 2012, introduce the MCD of DFN package in APGand transfer all of knowledge& machine from APHK to APGsuccessfully, achieve good performance in Quality, cost, training, TPT....etc,Specially, the MCD demand increase from 6M/day to 18M/day in 2012 end sharply and the DFPC reduce from ~10USD/K in 2011 to ~4USD/K in 2012 end, but keep 0 case Customer complaint during transfer. 2. Working in SOD882D/1608/SOT883B/SOD882D3/SOT1226/SOT1230/SOT1255 NPI project and Qual. and released these new packages to mass production to support company business expansion. 3. Lead the 3M tape Qual. team, introduced and released the 3M tape in MCD successfully. achieve 7% cost saving, total obtain ~800kUSD/year. 4. Working MCD copper wire project, the first conversion Cu wire in DFN package in Market, passed the Qual. sample making and Reliabilty test,the PCN sample is ongoing, expect risk mass production in 2014 Q1. 5. Working in STS project, to develop 300*80*0.1mm L/F STS to replace current BIM in trad SOT23 package,expect finish technical study in 2014. >>NPI/Project Coordinate ofStaff Engineer (~2years from 2013.1- to now) - Working in SOD882D/1608/SOT883B/SOD882D3/SOT1226/SOT1230/SOT1255 NPI project and Qual. and released these new packages to mass production to support company business expansion. - Lead the 3M tape Qual. team, introduced and released the 3M tape in MCD successfully. Achieve 7% cost saving, total obtain ~800kUSD/year. -Working in STS project, to develop 300*80*0.1mm L/F STS to replace current BIM in conventional SOT23 package,and completed preliminary study and get positive result in ASM AD838. Summary: Good application between NPI and mass production Process capability improvement, familiar in New material/tool/machine/process/package introduction; rick experience in transfer project management and complex problem solving. Sensitive to new thing and technology. take the "Raising the bar, Engaging Curiosity, Taking Initiative, Working Together, Developing Deep Core Competence" for own working motto. Kills & Expertise  Manufacturing  FMEA  DOE
  • 9.  Process Simulation  Yield  Materials  Six Sigma  Leadership  Failure Analysis  Process improvement  Training  Machine & Tools  PMP  Quality improvement  Equipment management  Cross Function improvement  NPI