SlideShare ist ein Scribd-Unternehmen logo
1 von 11
[HALF ADDER DESIGN] April 8, 2013
DECEMBER 2012 SESSION Page 1
ELECTRICAL ENGINEERING DEPARTMENT
EE603-CMOS INTEGRATED CIRCUIT DESIGN
LAB REPORT 6
DESIGNING HALF ADDER CIRCUIT
No Registration No. Name
1. 18DTK10F1036 CHONG WEI TING
2. 18DTK10F1034 ADLAN BIN ABDULLAH
CLASS : DTK 6B
LECTURER : EN. MUHAMAD REDUAN BIN ABU BAKAR
DATE SUBMITTED : 8thARPIL 2013
(Date submitted is one week after date lab)
TUANKU SYED
SIRAJUDDIN
POLYTECHNIC
MARKS
Lab Work :
Lab Report:
Total :
[HALF ADDER DESIGN] April 8, 2013
DECEMBER 2012 SESSION Page 2
LAB 6 : DESIGNING HALF ADDER CIRCUIT
Aim: Designing half adder circuit using L-edit software.
Objective:
After students had done this laboratory, then students should be able to:
1) Introduce schematic circuit, logic symbols and truth table of half adder.
2) Design half adder circuit using L-edit..
Apparatus: PC-set & L-edit student V 7.12 software.
Half adder is a combinational arithmetic circuit that adds two numbers and produces a
sum bit (S) and carry bit (C) as the output. If A and B are the input bits, then sum bit (S)
is the X-OR of A and B and the carry bit (C) will be the AND of A and B. From this it is
clear that a half adder circuit can be easily constructed using one X-OR gate and one
AND gate. Half adder is the simplest of all adder circuit, but it has a major
disadvantage. The half adder can add only two input bits (A and B) and has nothing to
do with the carry if there is any in the input. So if the input to a half adder have a carry,
then it will be neglected it and adds only the A and B bits. That means the binary
addition process is not complete and that’s why it is called a half adder. The truth table,
schematic representation and XOR//AND realization of a half adder are shown in the
figure below.
INTRODUCTION
[HALF ADDER DESIGN] April 8, 2013
DECEMBER 2012 SESSION Page 3
Schematic symbol and truth table of exclusive OR (XOR) gate.
(5 mark)
Explanation of 1-bit half-adder circuit operation. (10mark)
The basic 1-bit half-adder circuits. The sum bit is calculated with XOR gates, while the
AND gates are used to check whether two (or more) inputs are 1, which implies that the
carry out bit must be set.The half adder adds two single binary digits A and B. It has two
outputs, sum (S) and carry (C). The carry signal represents an overflow into the next
digit of a multi-digit addition. The value of the sum is 2C + S. The simplest half-adder
design, pictured on the right, incorporates an XOR gate for S and an AND gate for C. With
the addition of an OR gate to combine their carry outputs, two half adders can be
combined to make a full adder.These are the least possible single-bit combinations. But
the result for 1+1 is 10. Though this problem can be solved with the help of an EXOR
Gate, if you do care about the output, the sum result must be re-written as a 2-bit
output.Here the output ‘1’of ‘10’ becomes the carry-out. The result is shown in a truth-
table below. ‘SUM’ is the normal output and ‘CARRY’ is the carry-out. From the
equation it is clear that this 1-bit adder can be easily implemented with the help of
EXOR Gate for the output ‘SUM’ and an AND Gate for the carry.
[HALF ADDER DESIGN] April 8, 2013
DECEMBER 2012 SESSION Page 4
1. DESIGN Individual xor GATES
a) Created a new file in L-edit, and saved the file as Half_adder_chong.tdb.
b) Go to cell and then rename cell0 as XOR_gate.
LAB WORK
ACTIVITY
[HALF ADDER DESIGN] April 8, 2013
DECEMBER 2012 SESSION Page 5
c) In cell ‘XOR gate’, drawn the layout of XOR gate that according to XOR gate
stick diagram in Appendix and then label its.
d) Selected ToolDRC, to ensure that the design does not violating any design
rules.
[HALF ADDER DESIGN] April 8, 2013
DECEMBER 2012 SESSION Page 6
2. DESIGN Individual AND GATES
a) Open a new cell and renamed it as ‘AND gate’.
b) In cell ‘AND gate’, drawn the layout of 2-input AND gate thataccording to AND
gate stick diagram in Appendix and label it.
[HALF ADDER DESIGN] April 8, 2013
DECEMBER 2012 SESSION Page 7
c) Selected ToolDRC, to ensure that the design does not violating any design
rules.
3. DESIGN half adder.
a) Open a new cell and renamed it as ‘half adder’.
[HALF ADDER DESIGN] April 8, 2013
DECEMBER 2012 SESSION Page 8
b) Go to cell, and then Instanced the XOR gate layout and AND gate layout in this
new cell and made connection of these two layouts.
c) Selected ToolDRC, to ensure that the design does not violating any design
rules.
[HALF ADDER DESIGN] April 8, 2013
DECEMBER 2012 SESSION Page 9
Area: 7.75µm X 21.25µm=164.688 (denote 1lamda=0.125micron)
RESULT OF HALF
ADDER
[HALF ADDER DESIGN] April 8, 2013
DECEMBER 2012 SESSION Page 10
This lab work will consider the complete half adder layout that based combination of
XOR gate and ANDis presented, which after completing the lab phase, we will be able
to design an individual 2-input logic gates which is AND gate and XOR gate based on
given specification design rule. We had to combine these two gate together to form the
half adder layout.
Initially the design of XORand ANDlayout, we able to recognize schematic circuit, logic
symbols and truth table of XOR gates and AND gate.In half adder lab, we canhad to
design circuits that are capable of performing simple addition with the help of logic gates.
APPENDIX
CONCLUSION
[HALF ADDER DESIGN] April 8, 2013
DECEMBER 2012 SESSION Page 11
1 77 6 6
8 1010 7 9
VDD
GND
A BA B
4 2 3 5
F
Exclusive-OR (XOR) Stick Diagram
2-input AND gate Stick Diagram
GND
F=A.B
A B
S
S
D D S
VDD
SD SD
2-input NAND gate Inverter
input
VDD
GND
F = A.B

Weitere ähnliche Inhalte

Was ist angesagt?

Layout design on MICROWIND
Layout design on MICROWINDLayout design on MICROWIND
Layout design on MICROWINDvaibhav jindal
 
Vlsi Summer training report pdf
Vlsi Summer training report pdfVlsi Summer training report pdf
Vlsi Summer training report pdfGirjeshVerma2
 
Verilog full adder in dataflow & gate level modelling style.
Verilog full adder in dataflow  & gate level modelling style.Verilog full adder in dataflow  & gate level modelling style.
Verilog full adder in dataflow & gate level modelling style.Omkar Rane
 
Modules and ports in Verilog HDL
Modules and ports in Verilog HDLModules and ports in Verilog HDL
Modules and ports in Verilog HDLanand hd
 
Fabrication steps of IC
Fabrication steps of ICFabrication steps of IC
Fabrication steps of ICGowri Kishore
 
Bcd to 7 segment display
Bcd to 7 segment displayBcd to 7 segment display
Bcd to 7 segment displayMaulik Sanchela
 
design of FPGA based traffic light controller system
design of FPGA based traffic light controller systemdesign of FPGA based traffic light controller system
design of FPGA based traffic light controller systemVinny Chweety
 
Half adder & full adder
Half adder & full adderHalf adder & full adder
Half adder & full adderGaditek
 
Programmable Logic Array(PLA) & Programmable Array Logic(PAL)
Programmable Logic Array(PLA) & Programmable Array Logic(PAL)Programmable Logic Array(PLA) & Programmable Array Logic(PAL)
Programmable Logic Array(PLA) & Programmable Array Logic(PAL)Revathi Subramaniam
 
Power dissipation cmos
Power dissipation cmosPower dissipation cmos
Power dissipation cmosRajesh Tiwary
 
MOS as Diode, Switch and Active Resistor
MOS as Diode, Switch and Active ResistorMOS as Diode, Switch and Active Resistor
MOS as Diode, Switch and Active ResistorSudhanshu Janwadkar
 
Layout & Stick Diagram Design Rules
Layout & Stick Diagram Design RulesLayout & Stick Diagram Design Rules
Layout & Stick Diagram Design Rulesvarun kumar
 
Fpga(field programmable gate array)
Fpga(field programmable gate array) Fpga(field programmable gate array)
Fpga(field programmable gate array) Iffat Anjum
 

Was ist angesagt? (20)

Layout design on MICROWIND
Layout design on MICROWINDLayout design on MICROWIND
Layout design on MICROWIND
 
Vlsi design notes
Vlsi design notesVlsi design notes
Vlsi design notes
 
Vlsi Summer training report pdf
Vlsi Summer training report pdfVlsi Summer training report pdf
Vlsi Summer training report pdf
 
Stick Diagram
Stick DiagramStick Diagram
Stick Diagram
 
Verilog full adder in dataflow & gate level modelling style.
Verilog full adder in dataflow  & gate level modelling style.Verilog full adder in dataflow  & gate level modelling style.
Verilog full adder in dataflow & gate level modelling style.
 
Modules and ports in Verilog HDL
Modules and ports in Verilog HDLModules and ports in Verilog HDL
Modules and ports in Verilog HDL
 
Dynamic logic circuits
Dynamic logic circuitsDynamic logic circuits
Dynamic logic circuits
 
Fabrication steps of IC
Fabrication steps of ICFabrication steps of IC
Fabrication steps of IC
 
Rc delay modelling in vlsi
Rc delay modelling in vlsiRc delay modelling in vlsi
Rc delay modelling in vlsi
 
Bcd to 7 segment display
Bcd to 7 segment displayBcd to 7 segment display
Bcd to 7 segment display
 
design of FPGA based traffic light controller system
design of FPGA based traffic light controller systemdesign of FPGA based traffic light controller system
design of FPGA based traffic light controller system
 
BGR
BGRBGR
BGR
 
Half adder & full adder
Half adder & full adderHalf adder & full adder
Half adder & full adder
 
Programmable Logic Array(PLA) & Programmable Array Logic(PAL)
Programmable Logic Array(PLA) & Programmable Array Logic(PAL)Programmable Logic Array(PLA) & Programmable Array Logic(PAL)
Programmable Logic Array(PLA) & Programmable Array Logic(PAL)
 
Power dissipation cmos
Power dissipation cmosPower dissipation cmos
Power dissipation cmos
 
Verilog hdl
Verilog hdlVerilog hdl
Verilog hdl
 
MOS as Diode, Switch and Active Resistor
MOS as Diode, Switch and Active ResistorMOS as Diode, Switch and Active Resistor
MOS as Diode, Switch and Active Resistor
 
Dac, adc architecture
Dac, adc architectureDac, adc architecture
Dac, adc architecture
 
Layout & Stick Diagram Design Rules
Layout & Stick Diagram Design RulesLayout & Stick Diagram Design Rules
Layout & Stick Diagram Design Rules
 
Fpga(field programmable gate array)
Fpga(field programmable gate array) Fpga(field programmable gate array)
Fpga(field programmable gate array)
 

Ähnlich wie Half adder layout design

Adapted from Harris & Harris Digital Design and Computer Arch.docx
Adapted from Harris & Harris Digital Design and Computer Arch.docxAdapted from Harris & Harris Digital Design and Computer Arch.docx
Adapted from Harris & Harris Digital Design and Computer Arch.docxnettletondevon
 
Ecad &vlsi lab 18
Ecad &vlsi lab 18Ecad &vlsi lab 18
Ecad &vlsi lab 18Shekar Midde
 
C10ComputerEngg.pptx
C10ComputerEngg.pptxC10ComputerEngg.pptx
C10ComputerEngg.pptxvijayapraba1
 
Vhdl code and project report of arithmetic and logic unit
Vhdl code and project report of arithmetic and logic unitVhdl code and project report of arithmetic and logic unit
Vhdl code and project report of arithmetic and logic unitNikhil Sahu
 
Design and Implementation of Optimized 32-Bit Reversible Arithmetic Logic Unit
Design and Implementation of Optimized 32-Bit Reversible Arithmetic Logic UnitDesign and Implementation of Optimized 32-Bit Reversible Arithmetic Logic Unit
Design and Implementation of Optimized 32-Bit Reversible Arithmetic Logic Unitrahulmonikasharma
 
Design of chip controller
Design of chip controllerDesign of chip controller
Design of chip controllerasha
 
Energy Efficient Full Adders for Arithmetic Applications Based on GDI Logic
Energy Efficient Full Adders for Arithmetic Applications Based on GDI LogicEnergy Efficient Full Adders for Arithmetic Applications Based on GDI Logic
Energy Efficient Full Adders for Arithmetic Applications Based on GDI LogicAssociate Professor in VSB Coimbatore
 
8 bit Multiplier Accumulator
8 bit Multiplier Accumulator8 bit Multiplier Accumulator
8 bit Multiplier AccumulatorDaksh Raj Chopra
 
Layout Design Comparison of CMOS and Gate
Layout Design Comparison of CMOS  and Gate Layout Design Comparison of CMOS  and Gate
Layout Design Comparison of CMOS and Gate IJEEE
 
Lcdf4 chap 03_p2
Lcdf4 chap 03_p2Lcdf4 chap 03_p2
Lcdf4 chap 03_p2ozgur_can
 
A Novel Efficient VLSI Architecture for IEEE 754 Floating point multiplier us...
A Novel Efficient VLSI Architecture for IEEE 754 Floating point multiplier us...A Novel Efficient VLSI Architecture for IEEE 754 Floating point multiplier us...
A Novel Efficient VLSI Architecture for IEEE 754 Floating point multiplier us...IJERA Editor
 
Layout Design of Low Power Half Adder using 90nm Technology
Layout Design of Low Power Half Adder using 90nm Technology Layout Design of Low Power Half Adder using 90nm Technology
Layout Design of Low Power Half Adder using 90nm Technology IJEEE
 

Ähnlich wie Half adder layout design (20)

Adapted from Harris & Harris Digital Design and Computer Arch.docx
Adapted from Harris & Harris Digital Design and Computer Arch.docxAdapted from Harris & Harris Digital Design and Computer Arch.docx
Adapted from Harris & Harris Digital Design and Computer Arch.docx
 
Verilog HDL CODES
Verilog HDL CODES Verilog HDL CODES
Verilog HDL CODES
 
Ecad &vlsi lab 18
Ecad &vlsi lab 18Ecad &vlsi lab 18
Ecad &vlsi lab 18
 
Nand 4011 design
Nand 4011 designNand 4011 design
Nand 4011 design
 
Computational Assignment Help
Computational Assignment HelpComputational Assignment Help
Computational Assignment Help
 
C0421013019
C0421013019C0421013019
C0421013019
 
Combinational logic
Combinational logicCombinational logic
Combinational logic
 
Digital 4-bit Comprator
Digital 4-bit CompratorDigital 4-bit Comprator
Digital 4-bit Comprator
 
C10ComputerEngg.pptx
C10ComputerEngg.pptxC10ComputerEngg.pptx
C10ComputerEngg.pptx
 
Vhdl code and project report of arithmetic and logic unit
Vhdl code and project report of arithmetic and logic unitVhdl code and project report of arithmetic and logic unit
Vhdl code and project report of arithmetic and logic unit
 
Design and Implementation of Optimized 32-Bit Reversible Arithmetic Logic Unit
Design and Implementation of Optimized 32-Bit Reversible Arithmetic Logic UnitDesign and Implementation of Optimized 32-Bit Reversible Arithmetic Logic Unit
Design and Implementation of Optimized 32-Bit Reversible Arithmetic Logic Unit
 
Design of chip controller
Design of chip controllerDesign of chip controller
Design of chip controller
 
Energy Efficient Full Adders for Arithmetic Applications Based on GDI Logic
Energy Efficient Full Adders for Arithmetic Applications Based on GDI LogicEnergy Efficient Full Adders for Arithmetic Applications Based on GDI Logic
Energy Efficient Full Adders for Arithmetic Applications Based on GDI Logic
 
8 bit Multiplier Accumulator
8 bit Multiplier Accumulator8 bit Multiplier Accumulator
8 bit Multiplier Accumulator
 
10 multiplexers-de mux
10 multiplexers-de mux10 multiplexers-de mux
10 multiplexers-de mux
 
Layout Design Comparison of CMOS and Gate
Layout Design Comparison of CMOS  and Gate Layout Design Comparison of CMOS  and Gate
Layout Design Comparison of CMOS and Gate
 
Lcdf4 chap 03_p2
Lcdf4 chap 03_p2Lcdf4 chap 03_p2
Lcdf4 chap 03_p2
 
A Novel Efficient VLSI Architecture for IEEE 754 Floating point multiplier us...
A Novel Efficient VLSI Architecture for IEEE 754 Floating point multiplier us...A Novel Efficient VLSI Architecture for IEEE 754 Floating point multiplier us...
A Novel Efficient VLSI Architecture for IEEE 754 Floating point multiplier us...
 
Layout Design of Low Power Half Adder using 90nm Technology
Layout Design of Low Power Half Adder using 90nm Technology Layout Design of Low Power Half Adder using 90nm Technology
Layout Design of Low Power Half Adder using 90nm Technology
 
VHDL CODES
VHDL CODES VHDL CODES
VHDL CODES
 

Kürzlich hochgeladen

cda.pptx critical discourse analysis ppt
cda.pptx critical discourse analysis pptcda.pptx critical discourse analysis ppt
cda.pptx critical discourse analysis pptMaryamAfzal41
 
办理卡尔顿大学毕业证成绩单|购买加拿大文凭证书
办理卡尔顿大学毕业证成绩单|购买加拿大文凭证书办理卡尔顿大学毕业证成绩单|购买加拿大文凭证书
办理卡尔顿大学毕业证成绩单|购买加拿大文凭证书zdzoqco
 
group_15_empirya_p1projectIndustrial.pdf
group_15_empirya_p1projectIndustrial.pdfgroup_15_empirya_p1projectIndustrial.pdf
group_15_empirya_p1projectIndustrial.pdfneelspinoy
 
Piece by Piece Magazine
Piece by Piece Magazine                      Piece by Piece Magazine
Piece by Piece Magazine CharlottePulte
 
How to Empower the future of UX Design with Gen AI
How to Empower the future of UX Design with Gen AIHow to Empower the future of UX Design with Gen AI
How to Empower the future of UX Design with Gen AIyuj
 
Interior Design for Office a cura di RMG Project Studio
Interior Design for Office a cura di RMG Project StudioInterior Design for Office a cura di RMG Project Studio
Interior Design for Office a cura di RMG Project StudioRMG Project Studio
 
Karim apartment ideas 02 ppppppppppppppp
Karim apartment ideas 02 pppppppppppppppKarim apartment ideas 02 ppppppppppppppp
Karim apartment ideas 02 pppppppppppppppNadaMohammed714321
 
怎么办理英国Newcastle毕业证纽卡斯尔大学学位证书一手渠道
怎么办理英国Newcastle毕业证纽卡斯尔大学学位证书一手渠道怎么办理英国Newcastle毕业证纽卡斯尔大学学位证书一手渠道
怎么办理英国Newcastle毕业证纽卡斯尔大学学位证书一手渠道yrolcks
 
10 must-have Chrome extensions for designers
10 must-have Chrome extensions for designers10 must-have Chrome extensions for designers
10 must-have Chrome extensions for designersPixeldarts
 
Top 10 Modern Web Design Trends for 2025
Top 10 Modern Web Design Trends for 2025Top 10 Modern Web Design Trends for 2025
Top 10 Modern Web Design Trends for 2025Rndexperts
 
Iconic Global Solution - web design, Digital Marketing services
Iconic Global Solution - web design, Digital Marketing servicesIconic Global Solution - web design, Digital Marketing services
Iconic Global Solution - web design, Digital Marketing servicesIconic global solution
 
Karim apartment ideas 01 ppppppppppppppp
Karim apartment ideas 01 pppppppppppppppKarim apartment ideas 01 ppppppppppppppp
Karim apartment ideas 01 pppppppppppppppNadaMohammed714321
 
Unit1_Syllbwbnwnwneneneneneneentation_Sem2.pptx
Unit1_Syllbwbnwnwneneneneneneentation_Sem2.pptxUnit1_Syllbwbnwnwneneneneneneentation_Sem2.pptx
Unit1_Syllbwbnwnwneneneneneneentation_Sem2.pptxNitish292041
 
guest bathroom white and bluesssssssssss
guest bathroom white and bluesssssssssssguest bathroom white and bluesssssssssss
guest bathroom white and bluesssssssssssNadaMohammed714321
 
10 Best WordPress Plugins to make the website effective in 2024
10 Best WordPress Plugins to make the website effective in 202410 Best WordPress Plugins to make the website effective in 2024
10 Best WordPress Plugins to make the website effective in 2024digital learning point
 
General Knowledge Quiz Game C++ CODE.pptx
General Knowledge Quiz Game C++ CODE.pptxGeneral Knowledge Quiz Game C++ CODE.pptx
General Knowledge Quiz Game C++ CODE.pptxmarckustrevion
 
Giulio Michelon, Founder di @Belka – “Oltre le Stime: Sviluppare una Mentalit...
Giulio Michelon, Founder di @Belka – “Oltre le Stime: Sviluppare una Mentalit...Giulio Michelon, Founder di @Belka – “Oltre le Stime: Sviluppare una Mentalit...
Giulio Michelon, Founder di @Belka – “Oltre le Stime: Sviluppare una Mentalit...Associazione Digital Days
 
The spirit of digital place - game worlds and architectural phenomenology
The spirit of digital place - game worlds and architectural phenomenologyThe spirit of digital place - game worlds and architectural phenomenology
The spirit of digital place - game worlds and architectural phenomenologyChristopher Totten
 
Pharmaceutical Packaging for the elderly.pdf
Pharmaceutical Packaging for the elderly.pdfPharmaceutical Packaging for the elderly.pdf
Pharmaceutical Packaging for the elderly.pdfAayushChavan5
 
AI and Design Vol. 2: Navigating the New Frontier - Morgenbooster
AI and Design Vol. 2: Navigating the New Frontier - MorgenboosterAI and Design Vol. 2: Navigating the New Frontier - Morgenbooster
AI and Design Vol. 2: Navigating the New Frontier - Morgenbooster1508 A/S
 

Kürzlich hochgeladen (20)

cda.pptx critical discourse analysis ppt
cda.pptx critical discourse analysis pptcda.pptx critical discourse analysis ppt
cda.pptx critical discourse analysis ppt
 
办理卡尔顿大学毕业证成绩单|购买加拿大文凭证书
办理卡尔顿大学毕业证成绩单|购买加拿大文凭证书办理卡尔顿大学毕业证成绩单|购买加拿大文凭证书
办理卡尔顿大学毕业证成绩单|购买加拿大文凭证书
 
group_15_empirya_p1projectIndustrial.pdf
group_15_empirya_p1projectIndustrial.pdfgroup_15_empirya_p1projectIndustrial.pdf
group_15_empirya_p1projectIndustrial.pdf
 
Piece by Piece Magazine
Piece by Piece Magazine                      Piece by Piece Magazine
Piece by Piece Magazine
 
How to Empower the future of UX Design with Gen AI
How to Empower the future of UX Design with Gen AIHow to Empower the future of UX Design with Gen AI
How to Empower the future of UX Design with Gen AI
 
Interior Design for Office a cura di RMG Project Studio
Interior Design for Office a cura di RMG Project StudioInterior Design for Office a cura di RMG Project Studio
Interior Design for Office a cura di RMG Project Studio
 
Karim apartment ideas 02 ppppppppppppppp
Karim apartment ideas 02 pppppppppppppppKarim apartment ideas 02 ppppppppppppppp
Karim apartment ideas 02 ppppppppppppppp
 
怎么办理英国Newcastle毕业证纽卡斯尔大学学位证书一手渠道
怎么办理英国Newcastle毕业证纽卡斯尔大学学位证书一手渠道怎么办理英国Newcastle毕业证纽卡斯尔大学学位证书一手渠道
怎么办理英国Newcastle毕业证纽卡斯尔大学学位证书一手渠道
 
10 must-have Chrome extensions for designers
10 must-have Chrome extensions for designers10 must-have Chrome extensions for designers
10 must-have Chrome extensions for designers
 
Top 10 Modern Web Design Trends for 2025
Top 10 Modern Web Design Trends for 2025Top 10 Modern Web Design Trends for 2025
Top 10 Modern Web Design Trends for 2025
 
Iconic Global Solution - web design, Digital Marketing services
Iconic Global Solution - web design, Digital Marketing servicesIconic Global Solution - web design, Digital Marketing services
Iconic Global Solution - web design, Digital Marketing services
 
Karim apartment ideas 01 ppppppppppppppp
Karim apartment ideas 01 pppppppppppppppKarim apartment ideas 01 ppppppppppppppp
Karim apartment ideas 01 ppppppppppppppp
 
Unit1_Syllbwbnwnwneneneneneneentation_Sem2.pptx
Unit1_Syllbwbnwnwneneneneneneentation_Sem2.pptxUnit1_Syllbwbnwnwneneneneneneentation_Sem2.pptx
Unit1_Syllbwbnwnwneneneneneneentation_Sem2.pptx
 
guest bathroom white and bluesssssssssss
guest bathroom white and bluesssssssssssguest bathroom white and bluesssssssssss
guest bathroom white and bluesssssssssss
 
10 Best WordPress Plugins to make the website effective in 2024
10 Best WordPress Plugins to make the website effective in 202410 Best WordPress Plugins to make the website effective in 2024
10 Best WordPress Plugins to make the website effective in 2024
 
General Knowledge Quiz Game C++ CODE.pptx
General Knowledge Quiz Game C++ CODE.pptxGeneral Knowledge Quiz Game C++ CODE.pptx
General Knowledge Quiz Game C++ CODE.pptx
 
Giulio Michelon, Founder di @Belka – “Oltre le Stime: Sviluppare una Mentalit...
Giulio Michelon, Founder di @Belka – “Oltre le Stime: Sviluppare una Mentalit...Giulio Michelon, Founder di @Belka – “Oltre le Stime: Sviluppare una Mentalit...
Giulio Michelon, Founder di @Belka – “Oltre le Stime: Sviluppare una Mentalit...
 
The spirit of digital place - game worlds and architectural phenomenology
The spirit of digital place - game worlds and architectural phenomenologyThe spirit of digital place - game worlds and architectural phenomenology
The spirit of digital place - game worlds and architectural phenomenology
 
Pharmaceutical Packaging for the elderly.pdf
Pharmaceutical Packaging for the elderly.pdfPharmaceutical Packaging for the elderly.pdf
Pharmaceutical Packaging for the elderly.pdf
 
AI and Design Vol. 2: Navigating the New Frontier - Morgenbooster
AI and Design Vol. 2: Navigating the New Frontier - MorgenboosterAI and Design Vol. 2: Navigating the New Frontier - Morgenbooster
AI and Design Vol. 2: Navigating the New Frontier - Morgenbooster
 

Half adder layout design

  • 1. [HALF ADDER DESIGN] April 8, 2013 DECEMBER 2012 SESSION Page 1 ELECTRICAL ENGINEERING DEPARTMENT EE603-CMOS INTEGRATED CIRCUIT DESIGN LAB REPORT 6 DESIGNING HALF ADDER CIRCUIT No Registration No. Name 1. 18DTK10F1036 CHONG WEI TING 2. 18DTK10F1034 ADLAN BIN ABDULLAH CLASS : DTK 6B LECTURER : EN. MUHAMAD REDUAN BIN ABU BAKAR DATE SUBMITTED : 8thARPIL 2013 (Date submitted is one week after date lab) TUANKU SYED SIRAJUDDIN POLYTECHNIC MARKS Lab Work : Lab Report: Total :
  • 2. [HALF ADDER DESIGN] April 8, 2013 DECEMBER 2012 SESSION Page 2 LAB 6 : DESIGNING HALF ADDER CIRCUIT Aim: Designing half adder circuit using L-edit software. Objective: After students had done this laboratory, then students should be able to: 1) Introduce schematic circuit, logic symbols and truth table of half adder. 2) Design half adder circuit using L-edit.. Apparatus: PC-set & L-edit student V 7.12 software. Half adder is a combinational arithmetic circuit that adds two numbers and produces a sum bit (S) and carry bit (C) as the output. If A and B are the input bits, then sum bit (S) is the X-OR of A and B and the carry bit (C) will be the AND of A and B. From this it is clear that a half adder circuit can be easily constructed using one X-OR gate and one AND gate. Half adder is the simplest of all adder circuit, but it has a major disadvantage. The half adder can add only two input bits (A and B) and has nothing to do with the carry if there is any in the input. So if the input to a half adder have a carry, then it will be neglected it and adds only the A and B bits. That means the binary addition process is not complete and that’s why it is called a half adder. The truth table, schematic representation and XOR//AND realization of a half adder are shown in the figure below. INTRODUCTION
  • 3. [HALF ADDER DESIGN] April 8, 2013 DECEMBER 2012 SESSION Page 3 Schematic symbol and truth table of exclusive OR (XOR) gate. (5 mark) Explanation of 1-bit half-adder circuit operation. (10mark) The basic 1-bit half-adder circuits. The sum bit is calculated with XOR gates, while the AND gates are used to check whether two (or more) inputs are 1, which implies that the carry out bit must be set.The half adder adds two single binary digits A and B. It has two outputs, sum (S) and carry (C). The carry signal represents an overflow into the next digit of a multi-digit addition. The value of the sum is 2C + S. The simplest half-adder design, pictured on the right, incorporates an XOR gate for S and an AND gate for C. With the addition of an OR gate to combine their carry outputs, two half adders can be combined to make a full adder.These are the least possible single-bit combinations. But the result for 1+1 is 10. Though this problem can be solved with the help of an EXOR Gate, if you do care about the output, the sum result must be re-written as a 2-bit output.Here the output ‘1’of ‘10’ becomes the carry-out. The result is shown in a truth- table below. ‘SUM’ is the normal output and ‘CARRY’ is the carry-out. From the equation it is clear that this 1-bit adder can be easily implemented with the help of EXOR Gate for the output ‘SUM’ and an AND Gate for the carry.
  • 4. [HALF ADDER DESIGN] April 8, 2013 DECEMBER 2012 SESSION Page 4 1. DESIGN Individual xor GATES a) Created a new file in L-edit, and saved the file as Half_adder_chong.tdb. b) Go to cell and then rename cell0 as XOR_gate. LAB WORK ACTIVITY
  • 5. [HALF ADDER DESIGN] April 8, 2013 DECEMBER 2012 SESSION Page 5 c) In cell ‘XOR gate’, drawn the layout of XOR gate that according to XOR gate stick diagram in Appendix and then label its. d) Selected ToolDRC, to ensure that the design does not violating any design rules.
  • 6. [HALF ADDER DESIGN] April 8, 2013 DECEMBER 2012 SESSION Page 6 2. DESIGN Individual AND GATES a) Open a new cell and renamed it as ‘AND gate’. b) In cell ‘AND gate’, drawn the layout of 2-input AND gate thataccording to AND gate stick diagram in Appendix and label it.
  • 7. [HALF ADDER DESIGN] April 8, 2013 DECEMBER 2012 SESSION Page 7 c) Selected ToolDRC, to ensure that the design does not violating any design rules. 3. DESIGN half adder. a) Open a new cell and renamed it as ‘half adder’.
  • 8. [HALF ADDER DESIGN] April 8, 2013 DECEMBER 2012 SESSION Page 8 b) Go to cell, and then Instanced the XOR gate layout and AND gate layout in this new cell and made connection of these two layouts. c) Selected ToolDRC, to ensure that the design does not violating any design rules.
  • 9. [HALF ADDER DESIGN] April 8, 2013 DECEMBER 2012 SESSION Page 9 Area: 7.75µm X 21.25µm=164.688 (denote 1lamda=0.125micron) RESULT OF HALF ADDER
  • 10. [HALF ADDER DESIGN] April 8, 2013 DECEMBER 2012 SESSION Page 10 This lab work will consider the complete half adder layout that based combination of XOR gate and ANDis presented, which after completing the lab phase, we will be able to design an individual 2-input logic gates which is AND gate and XOR gate based on given specification design rule. We had to combine these two gate together to form the half adder layout. Initially the design of XORand ANDlayout, we able to recognize schematic circuit, logic symbols and truth table of XOR gates and AND gate.In half adder lab, we canhad to design circuits that are capable of performing simple addition with the help of logic gates. APPENDIX CONCLUSION
  • 11. [HALF ADDER DESIGN] April 8, 2013 DECEMBER 2012 SESSION Page 11 1 77 6 6 8 1010 7 9 VDD GND A BA B 4 2 3 5 F Exclusive-OR (XOR) Stick Diagram 2-input AND gate Stick Diagram GND F=A.B A B S S D D S VDD SD SD 2-input NAND gate Inverter input VDD GND F = A.B