SlideShare ist ein Scribd-Unternehmen logo
1 von 16
• Click to editgMasterstext u s i n g V H D L
             D i i t a l D e i g n styles
    – Second level      Tutorial [2]
        • Third level
           – Fourth level
               » Fifth level



                                       Introduced by
Using Xilinx ISE
         [1]
                                                                    Cairo-Egypt

                                                       Version 03 – June 2012 1
about Start Group


• Click to edit Master text styles
  Mahmoud Abdellatif
  – Second level
  Alaa Salah Shehata
     • Third Salah
  Mohamed level
  Mohamed Talaat
         – Fourth level
               » Fifth level
    start.courses@gmail.com               www.slideshare.net/StartGroup

    www.facebook.com/groups/start.group

    www.startgroup.weebly.com

   + 02 0122-4504158 M.A                  www.youtube.com/StartGroup2011
   + 02 0128-0090250 A.S

                                Tutorial [2]                               2
Xilinx Tutorial


• Click to edit Master text stylesclick on the ISE program on
                               First
                               your desktop to open it …
   – Second level                               This screen will appear click ok or
                                                see tips of the day 
       • Third level
           – Fourth level
               » Fifth level




                                 Tutorial [2]                                         3
Xilinx Tutorial


• Click to edit Master text styles
  – Second level
     • Third level
        – Fourth level
            » Fifth level




        In first use of the program : from toolbar choose :
        Edit menu >> preferences >>Select (integrated Tools) from the left side .
                                    Tutorial [2]                                    4
Xilinx Tutorial


   From the first line press on the bottom beside (default) as shown
• Click to edit Master text styles
   A new menu will appear select desktop to see( Modelsim) shortcut .

   – Second level
        • Third level
              – Fourth level
                  » Fifth level




  A new menu will appear select desktop to see( Modelsim) shortcut .Select Modelsim SE
  6.4c (so this program you will use it as your simulation tool )

                                        Tutorial [2]                                     5
Xilinx Tutorial


• Click to edit Master text styles
     – Second level
          • Third level
Now you are ready to make new project .

                  – steps you will
Note : this previousFourth level make it only one time in your first use
of the program.
                      » Fifth level




                                            Tutorial [2]                   6
Xilinx Tutorial


• Click to edit Master text styles
      – Second level
           • Third level
                 – Fourth level
                     » Fifth level




 From file …. Choose ..new project
Choose your project name & project location you will save it in .
This window will appear to you : you will determine you FPGA type and its family …and
speed duo to its datasheet.

                                            Tutorial [2]                                7
Xilinx Tutorial


• Click to edit Master text styles
      – Second level
           • Third level
                 – Fourth level
                     » Fifth level




Now your new project will appear in this window on its left
Right Click > design properities .. Make sure that simulator chosen is Modelsim SE



                                             Tutorial [2]                            8
Xilinx Tutorial


• Click to edit Master text styles
      – Second level
           • Third level
                – Fourth level
                    » Fifth level




You need to add your project files (as your project components) so
Click Right on your project file then choose (new source) then VHDL module from your
menu then determine its name .
                                            Tutorial [2]                               9
Xilinx Tutorial


• Click to edit Master text styles
      – Second level
           • Third level
                 – Fourth level
                     » Fifth level




You can specify your block(Entity) ports from this window …. Select each port type (In or
out) and select each port (size) .

                                             Tutorial [2]                                   10
Xilinx Tutorial


 • Click to edit Master text styles
      – Second level
            • Third level
                  – Fourth level
                      » Fifth level




You are ready to write your code in this new file .

                                               Tutorial [2]   11
Xilinx Tutorial


• Click to edit Master text styles
   – Second level
         • Third level
 After writing your code you need first to check syntax
               – Fourth level
 From process menu open synthesize then double click on check syntax .
                    » Fifth level

 If there are no errors in your code check syntax process will succeed you can be able to
 make next steps you will know it later in the tutorial




                                           Tutorial [2]                                     12
Xilinx Tutorial


 • Click to edit Master text styles
      – Second level
            • Third level
                 – Fourth level
                     » Fifth level




To see your block press on view RTL schematic and double click on the block to see the
Hardware that your code express . ( the tool translate your code into Hardware ).


                                             Tutorial [2]                                13
Xilinx Tutorial


• Click to edit Master text styles
     – Second level
          • Third level
               – Fourth level
                   » Fifth level

                                                From Modelsim Simulator double click on
                                                Simulate Behavioral Model simulation
                                                program(ModelSim ) will be opened .




To perform simulation on your project :
From source menu select Behavioral Simulation instead of implementation .
                                          Tutorial [2]                                14
Take Your Notes
                                       Print the slides and take your notes here
--------------------------------------------------------------------------------------------------------------------------------------
--------------------------------------------------------------------------------------------------------------------------------------
   • Click to edit Master text styles
--------------------------------------------------------------------------------------------------------------------------------------
--------------------------------------------------------------------------------------------------------------------------------------
--------------------------------------------------------------------------------------------------------------------------------------
          – Second level
--------------------------------------------------------------------------------------------------------------------------------------
--------------------------------------------------------------------------------------------------------------------------------------
--------------------------------------------------------------------------------------------------------------------------------------
                 • Third level
--------------------------------------------------------------------------------------------------------------------------------------
--------------------------------------------------------------------------------------------------------------------------------------
                        – Fourth level
--------------------------------------------------------------------------------------------------------------------------------------
--------------------------------------------------------------------------------------------------------------------------------------
                            » Fifth level
--------------------------------------------------------------------------------------------------------------------------------------
--------------------------------------------------------------------------------------------------------------------------------------
--------------------------------------------------------------------------------------------------------------------------------------
--------------------------------------------------------------------------------------------------------------------------------------
--------------------------------------------------------------------------------------------------------------------------------------
--------------------------------------------------------------------------------------------------------------------------------------
--------------------------------------------------------------------------------------------------------------------------------------
--------------------------------------------------------------------------------------------------------------------------------------
--------------------------------------------------------------------------------------------------------------------------------------
--------------------------------------------------------------------------------------------------------------------------------------
--------------------------------------------------------------------------------------------------------------------------------------
--------------------------------------------------------------------------------------------------------------------------------------
--------------------------------------------------------------------------------------------------------------------------------------
--------------------------------------------------------------------------------------------------------------------------------------

                                                             Tutorial [2]                                                      15
You are The Best


• Click to edit Master text styles
  – Second level
     • Third level
        – Fourth level
            » Fifth level




                            Tutorial [2]   16

Weitere ähnliche Inhalte

Ähnlich wie Tutorial 2

7 ways of reducing tpm cost
7 ways of reducing tpm cost 7 ways of reducing tpm cost
7 ways of reducing tpm cost
TradeInsight
 
ASP.NET Session 4
ASP.NET Session 4ASP.NET Session 4
ASP.NET Session 4
Sisir Ghosh
 
Bypassing malware detection mechanisms in online banking
Bypassing malware detection mechanisms in online bankingBypassing malware detection mechanisms in online banking
Bypassing malware detection mechanisms in online banking
Jakub Kałużny
 
Cis247 a ilab 1 of 7 creating a user interface
Cis247 a ilab 1 of 7 creating a user interfaceCis247 a ilab 1 of 7 creating a user interface
Cis247 a ilab 1 of 7 creating a user interface
ccis224477
 

Ähnlich wie Tutorial 2 (20)

Tutorial 1
Tutorial 1Tutorial 1
Tutorial 1
 
What to do when things go wrong with Drupal
What to do when things go wrong with DrupalWhat to do when things go wrong with Drupal
What to do when things go wrong with Drupal
 
Consultancy Techniques Overview
Consultancy Techniques OverviewConsultancy Techniques Overview
Consultancy Techniques Overview
 
7 ways of reducing tpm cost
7 ways of reducing tpm cost 7 ways of reducing tpm cost
7 ways of reducing tpm cost
 
Geek Sync | Database People and DevOps: The Fundamentals
Geek Sync | Database People and DevOps: The FundamentalsGeek Sync | Database People and DevOps: The Fundamentals
Geek Sync | Database People and DevOps: The Fundamentals
 
ASP.NET Session 4
ASP.NET Session 4ASP.NET Session 4
ASP.NET Session 4
 
Course Tech 2013, Susie VanHuss & Vicki Robertson, A Productivity/Project App...
Course Tech 2013, Susie VanHuss & Vicki Robertson, A Productivity/Project App...Course Tech 2013, Susie VanHuss & Vicki Robertson, A Productivity/Project App...
Course Tech 2013, Susie VanHuss & Vicki Robertson, A Productivity/Project App...
 
C# Fundamental
C# FundamentalC# Fundamental
C# Fundamental
 
Creating Accessible Web Pages Using Dreamweaver Mx 2004
Creating Accessible Web Pages Using Dreamweaver Mx 2004Creating Accessible Web Pages Using Dreamweaver Mx 2004
Creating Accessible Web Pages Using Dreamweaver Mx 2004
 
DiscoveringDH_ManagingDigitalProjects
DiscoveringDH_ManagingDigitalProjectsDiscoveringDH_ManagingDigitalProjects
DiscoveringDH_ManagingDigitalProjects
 
Bypassing malware detection mechanisms in online banking
Bypassing malware detection mechanisms in online bankingBypassing malware detection mechanisms in online banking
Bypassing malware detection mechanisms in online banking
 
DevSecOps Done Right - Strategies and Tools.pptx
DevSecOps Done Right - Strategies and Tools.pptxDevSecOps Done Right - Strategies and Tools.pptx
DevSecOps Done Right - Strategies and Tools.pptx
 
Winning Strategies for a Successful ERP Implementation
Winning Strategies for a Successful ERP ImplementationWinning Strategies for a Successful ERP Implementation
Winning Strategies for a Successful ERP Implementation
 
Decorator Design Pattern
Decorator Design PatternDecorator Design Pattern
Decorator Design Pattern
 
Information Audit and Card Sorting Exercise in One
Information Audit and Card Sorting Exercise in OneInformation Audit and Card Sorting Exercise in One
Information Audit and Card Sorting Exercise in One
 
MongoDB and MongoMK Source Event
MongoDB and MongoMK Source EventMongoDB and MongoMK Source Event
MongoDB and MongoMK Source Event
 
1605162990-week56.pptx
1605162990-week56.pptx1605162990-week56.pptx
1605162990-week56.pptx
 
PowerPoint User Introduction
PowerPoint User IntroductionPowerPoint User Introduction
PowerPoint User Introduction
 
Cis247 a ilab 1 of 7 creating a user interface
Cis247 a ilab 1 of 7 creating a user interfaceCis247 a ilab 1 of 7 creating a user interface
Cis247 a ilab 1 of 7 creating a user interface
 
Microsoft Cloud Computing
Microsoft Cloud ComputingMicrosoft Cloud Computing
Microsoft Cloud Computing
 

Kürzlich hochgeladen

Kürzlich hochgeladen (20)

HTML Injection Attacks: Impact and Mitigation Strategies
HTML Injection Attacks: Impact and Mitigation StrategiesHTML Injection Attacks: Impact and Mitigation Strategies
HTML Injection Attacks: Impact and Mitigation Strategies
 
Apidays New York 2024 - The Good, the Bad and the Governed by David O'Neill, ...
Apidays New York 2024 - The Good, the Bad and the Governed by David O'Neill, ...Apidays New York 2024 - The Good, the Bad and the Governed by David O'Neill, ...
Apidays New York 2024 - The Good, the Bad and the Governed by David O'Neill, ...
 
Axa Assurance Maroc - Insurer Innovation Award 2024
Axa Assurance Maroc - Insurer Innovation Award 2024Axa Assurance Maroc - Insurer Innovation Award 2024
Axa Assurance Maroc - Insurer Innovation Award 2024
 
GenAI Risks & Security Meetup 01052024.pdf
GenAI Risks & Security Meetup 01052024.pdfGenAI Risks & Security Meetup 01052024.pdf
GenAI Risks & Security Meetup 01052024.pdf
 
How to Troubleshoot Apps for the Modern Connected Worker
How to Troubleshoot Apps for the Modern Connected WorkerHow to Troubleshoot Apps for the Modern Connected Worker
How to Troubleshoot Apps for the Modern Connected Worker
 
Polkadot JAM Slides - Token2049 - By Dr. Gavin Wood
Polkadot JAM Slides - Token2049 - By Dr. Gavin WoodPolkadot JAM Slides - Token2049 - By Dr. Gavin Wood
Polkadot JAM Slides - Token2049 - By Dr. Gavin Wood
 
2024: Domino Containers - The Next Step. News from the Domino Container commu...
2024: Domino Containers - The Next Step. News from the Domino Container commu...2024: Domino Containers - The Next Step. News from the Domino Container commu...
2024: Domino Containers - The Next Step. News from the Domino Container commu...
 
Artificial Intelligence Chap.5 : Uncertainty
Artificial Intelligence Chap.5 : UncertaintyArtificial Intelligence Chap.5 : Uncertainty
Artificial Intelligence Chap.5 : Uncertainty
 
Bajaj Allianz Life Insurance Company - Insurer Innovation Award 2024
Bajaj Allianz Life Insurance Company - Insurer Innovation Award 2024Bajaj Allianz Life Insurance Company - Insurer Innovation Award 2024
Bajaj Allianz Life Insurance Company - Insurer Innovation Award 2024
 
Deploy with confidence: VMware Cloud Foundation 5.1 on next gen Dell PowerEdg...
Deploy with confidence: VMware Cloud Foundation 5.1 on next gen Dell PowerEdg...Deploy with confidence: VMware Cloud Foundation 5.1 on next gen Dell PowerEdg...
Deploy with confidence: VMware Cloud Foundation 5.1 on next gen Dell PowerEdg...
 
Bajaj Allianz Life Insurance Company - Insurer Innovation Award 2024
Bajaj Allianz Life Insurance Company - Insurer Innovation Award 2024Bajaj Allianz Life Insurance Company - Insurer Innovation Award 2024
Bajaj Allianz Life Insurance Company - Insurer Innovation Award 2024
 
From Event to Action: Accelerate Your Decision Making with Real-Time Automation
From Event to Action: Accelerate Your Decision Making with Real-Time AutomationFrom Event to Action: Accelerate Your Decision Making with Real-Time Automation
From Event to Action: Accelerate Your Decision Making with Real-Time Automation
 
Apidays Singapore 2024 - Building Digital Trust in a Digital Economy by Veron...
Apidays Singapore 2024 - Building Digital Trust in a Digital Economy by Veron...Apidays Singapore 2024 - Building Digital Trust in a Digital Economy by Veron...
Apidays Singapore 2024 - Building Digital Trust in a Digital Economy by Veron...
 
Understanding Discord NSFW Servers A Guide for Responsible Users.pdf
Understanding Discord NSFW Servers A Guide for Responsible Users.pdfUnderstanding Discord NSFW Servers A Guide for Responsible Users.pdf
Understanding Discord NSFW Servers A Guide for Responsible Users.pdf
 
Apidays New York 2024 - The value of a flexible API Management solution for O...
Apidays New York 2024 - The value of a flexible API Management solution for O...Apidays New York 2024 - The value of a flexible API Management solution for O...
Apidays New York 2024 - The value of a flexible API Management solution for O...
 
MINDCTI Revenue Release Quarter One 2024
MINDCTI Revenue Release Quarter One 2024MINDCTI Revenue Release Quarter One 2024
MINDCTI Revenue Release Quarter One 2024
 
TrustArc Webinar - Stay Ahead of US State Data Privacy Law Developments
TrustArc Webinar - Stay Ahead of US State Data Privacy Law DevelopmentsTrustArc Webinar - Stay Ahead of US State Data Privacy Law Developments
TrustArc Webinar - Stay Ahead of US State Data Privacy Law Developments
 
Top 5 Benefits OF Using Muvi Live Paywall For Live Streams
Top 5 Benefits OF Using Muvi Live Paywall For Live StreamsTop 5 Benefits OF Using Muvi Live Paywall For Live Streams
Top 5 Benefits OF Using Muvi Live Paywall For Live Streams
 
Strategies for Landing an Oracle DBA Job as a Fresher
Strategies for Landing an Oracle DBA Job as a FresherStrategies for Landing an Oracle DBA Job as a Fresher
Strategies for Landing an Oracle DBA Job as a Fresher
 
Top 10 Most Downloaded Games on Play Store in 2024
Top 10 Most Downloaded Games on Play Store in 2024Top 10 Most Downloaded Games on Play Store in 2024
Top 10 Most Downloaded Games on Play Store in 2024
 

Tutorial 2

  • 1. • Click to editgMasterstext u s i n g V H D L D i i t a l D e i g n styles – Second level Tutorial [2] • Third level – Fourth level » Fifth level Introduced by Using Xilinx ISE [1] Cairo-Egypt Version 03 – June 2012 1
  • 2. about Start Group • Click to edit Master text styles Mahmoud Abdellatif – Second level Alaa Salah Shehata • Third Salah Mohamed level Mohamed Talaat – Fourth level » Fifth level start.courses@gmail.com www.slideshare.net/StartGroup www.facebook.com/groups/start.group www.startgroup.weebly.com + 02 0122-4504158 M.A www.youtube.com/StartGroup2011 + 02 0128-0090250 A.S Tutorial [2] 2
  • 3. Xilinx Tutorial • Click to edit Master text stylesclick on the ISE program on First your desktop to open it … – Second level This screen will appear click ok or see tips of the day  • Third level – Fourth level » Fifth level Tutorial [2] 3
  • 4. Xilinx Tutorial • Click to edit Master text styles – Second level • Third level – Fourth level » Fifth level In first use of the program : from toolbar choose : Edit menu >> preferences >>Select (integrated Tools) from the left side . Tutorial [2] 4
  • 5. Xilinx Tutorial From the first line press on the bottom beside (default) as shown • Click to edit Master text styles A new menu will appear select desktop to see( Modelsim) shortcut . – Second level • Third level – Fourth level » Fifth level A new menu will appear select desktop to see( Modelsim) shortcut .Select Modelsim SE 6.4c (so this program you will use it as your simulation tool ) Tutorial [2] 5
  • 6. Xilinx Tutorial • Click to edit Master text styles – Second level • Third level Now you are ready to make new project . – steps you will Note : this previousFourth level make it only one time in your first use of the program. » Fifth level Tutorial [2] 6
  • 7. Xilinx Tutorial • Click to edit Master text styles – Second level • Third level – Fourth level » Fifth level From file …. Choose ..new project Choose your project name & project location you will save it in . This window will appear to you : you will determine you FPGA type and its family …and speed duo to its datasheet. Tutorial [2] 7
  • 8. Xilinx Tutorial • Click to edit Master text styles – Second level • Third level – Fourth level » Fifth level Now your new project will appear in this window on its left Right Click > design properities .. Make sure that simulator chosen is Modelsim SE Tutorial [2] 8
  • 9. Xilinx Tutorial • Click to edit Master text styles – Second level • Third level – Fourth level » Fifth level You need to add your project files (as your project components) so Click Right on your project file then choose (new source) then VHDL module from your menu then determine its name . Tutorial [2] 9
  • 10. Xilinx Tutorial • Click to edit Master text styles – Second level • Third level – Fourth level » Fifth level You can specify your block(Entity) ports from this window …. Select each port type (In or out) and select each port (size) . Tutorial [2] 10
  • 11. Xilinx Tutorial • Click to edit Master text styles – Second level • Third level – Fourth level » Fifth level You are ready to write your code in this new file . Tutorial [2] 11
  • 12. Xilinx Tutorial • Click to edit Master text styles – Second level • Third level After writing your code you need first to check syntax – Fourth level From process menu open synthesize then double click on check syntax . » Fifth level If there are no errors in your code check syntax process will succeed you can be able to make next steps you will know it later in the tutorial Tutorial [2] 12
  • 13. Xilinx Tutorial • Click to edit Master text styles – Second level • Third level – Fourth level » Fifth level To see your block press on view RTL schematic and double click on the block to see the Hardware that your code express . ( the tool translate your code into Hardware ). Tutorial [2] 13
  • 14. Xilinx Tutorial • Click to edit Master text styles – Second level • Third level – Fourth level » Fifth level From Modelsim Simulator double click on Simulate Behavioral Model simulation program(ModelSim ) will be opened . To perform simulation on your project : From source menu select Behavioral Simulation instead of implementation . Tutorial [2] 14
  • 15. Take Your Notes Print the slides and take your notes here -------------------------------------------------------------------------------------------------------------------------------------- -------------------------------------------------------------------------------------------------------------------------------------- • Click to edit Master text styles -------------------------------------------------------------------------------------------------------------------------------------- -------------------------------------------------------------------------------------------------------------------------------------- -------------------------------------------------------------------------------------------------------------------------------------- – Second level -------------------------------------------------------------------------------------------------------------------------------------- -------------------------------------------------------------------------------------------------------------------------------------- -------------------------------------------------------------------------------------------------------------------------------------- • Third level -------------------------------------------------------------------------------------------------------------------------------------- -------------------------------------------------------------------------------------------------------------------------------------- – Fourth level -------------------------------------------------------------------------------------------------------------------------------------- -------------------------------------------------------------------------------------------------------------------------------------- » Fifth level -------------------------------------------------------------------------------------------------------------------------------------- -------------------------------------------------------------------------------------------------------------------------------------- -------------------------------------------------------------------------------------------------------------------------------------- -------------------------------------------------------------------------------------------------------------------------------------- -------------------------------------------------------------------------------------------------------------------------------------- -------------------------------------------------------------------------------------------------------------------------------------- -------------------------------------------------------------------------------------------------------------------------------------- -------------------------------------------------------------------------------------------------------------------------------------- -------------------------------------------------------------------------------------------------------------------------------------- -------------------------------------------------------------------------------------------------------------------------------------- -------------------------------------------------------------------------------------------------------------------------------------- -------------------------------------------------------------------------------------------------------------------------------------- -------------------------------------------------------------------------------------------------------------------------------------- -------------------------------------------------------------------------------------------------------------------------------------- Tutorial [2] 15
  • 16. You are The Best • Click to edit Master text styles – Second level • Third level – Fourth level » Fifth level Tutorial [2] 16