SlideShare ist ein Scribd-Unternehmen logo
1 von 8
Summer 2012
                                                                           3rd Intake




• Click to edit Master text styles
            Introduction to the Course
  – Second level
               Digital Design using VHDL
        • Third level              Start Group
             – Fourth level
                 » Fifth level



                                                         Introduced by

      About Start Group
      Digital Design using VHDL course
      Sessions Plan
                                                                             Cairo-Egypt
      Course Outline
                                          Introduction                              1
about Start Group


• Click to edit Master text styles
   Mahmoud Abdellatif
  – Second level
  Alaa Salah Shehata
   Mohamed level
     • Third Salah
   Mohamed Talaat
         – Fourth level
               » Fifth level
    start.courses@gmail.com              www.slideshare.net/StartGroup

    www.facebook.com/groups/start.group

    www.startgroup.weebly.com

   + 02 0122-4504158 M.A                       www.youtube.com/StartGroup2011
   + 02 0128-0090250 A.S

                                Introduction                                    2
Digital Design using VHDL course

Course overview
  • Click to edit Master text styles
     •Obtain a general appreciation of what VHDL is as a hardware description language, and how
     it is used in the hardware design process.
       – Second level
     • Get a comprehensive overview about the VHDL language.
     • Create synthesizable models (behavioral coding style)
               • Third level
     • Use VHDL component instantiations to create hierarchy
            (structural coding style)
                     – role of level
     •Understand theFourthtest-benches in gate level simulation
Course Application
                          » Fifth level
     • Please send to start.courses@gmail.com
     • In E-mail subject write “Digital Design Course”
     • in E-mail body write
            Full Name
            University
            Department and Year (example : ECE 2014 – CSE2013 …)
            Mobile number
Course prerequisites                Basic Digital /Logic concepts
Course Duration                     32 Hours / 10 Sessions
Course Costs                        400 LE

                                            Introduction                                          3
Course Outline

Session One
              -Introduction to the course                        Session Three

• Click to edit Master text styles
              -Introduction to Digital Design
              -Introduction to VHDL
                                                                                 -Concurrent Statements
                                                                                                -Assign statement
                                                                                                -Process
                   -What is VHDL

       – Second level
                   -Why VHDL                                                                    -when-else
              -ASIC and FPGA Design Flow                                                        -with-select-when
              -How to read a VHDL code                                           -Combinational circuits

              • Third level
                    -Libraries and Packages
                    -Entity
                                                                                             -Multiplexers, Encoders and Decoders
                                                                                 -Modeling concurrency
                    -Architecture                                                -Events and Transactions
                     – Fourth level
              -Basic data types : BIT vs STD_LOGIC                               -Data Objects
              -Simple Combinational logic circuits                                              -External and Internal Signals
                         » Fifth level
              -Demo 1 : Using Modelsim and Xilinx tools                                         -Variables
                                                                                                -Constants
Session Two
              -Data Objects : Signals
              -Statements in VHDL                                Session Four
              -Sequential Statements                                             -Data Operators
                              -What is Process                                                   -Aggregate
                              -If statement                                                      -Concatenation
                              -Case Statement                                                    -Attributes
              -Combinational circuits                                                            -Other data operators
                          -Multiplexers, Encoders and Decoders                   -Simple Counters
                              -Resource sharing                                  -Controlled counters
              -Sequential Circuits                                               -Rotating LEDs
                              -Flip Flops (DFF-TFF)                              -Bi-direction Rotating LEDs
                              -Latches
              -mini-Project no.1
                                                           Introduction                                                             4
Course Outline


Session Five
• Click to edit Master text styles
                -Data types
                     -Scalar types                     Session Eight
                                                                       -Evaluation test
                     -Composite types

        – Second level
                     -User defined types
                -Modeling memories
                                                                       -Digital Arithmetic
                                                                       -Generating IP cores
                                                                       -Simulating IP Cores
                     -ROMs
                • Third level
                     -RAMs
                -mini-project no.2
                                                                       -Xilinx Lang. Templates


Session Six            – Fourth level                  Session Nine
                                                                       -Testbenches
                           » Fifth level                                               -Why
                -FSM finite state machines                                             -How to generate testbench
                                -More machine                          -Using Do files
                                -Mealy machine                         -Modelsim Simulation notes
                -FSM in VHDL                                           -Loops
                -Vending machine example                                               -For-Loop
                -String Detectors                                                      -While-Loop
                                                                       -Wait Statement
Session Seven                                                          -Assert Statement
                -Design styles                                         -Functions and Procedures
                -Structural Description                                -Reading and Writing in files
                -Components Instantiation
                -Generic Statement
                -FOR-GENERATE statement                Session 10       FPGA workshop
                -Packages
                -VHDL Guide
                                                 Introduction                                                       5
FPGA Workshop

After 9th Session Start Group introduces the last session
• Click to edit Master text styles
using sparton-3E FPGA

    – Second -VHDL summary
       FPGA WorkShop
                level
                    -Introduction to FPGA
         • Third level          -FPGA Structure
                                -Sparton-3E FPGA
              –   Fourth level on FPGA
                    -Implementation
                    » Fifth level
                                -Introduction to Xilinx
                                -Xilinx Tool Flow
                                -Xilinx Product Families
                                -Selection of Xilinx Device
                                -Synthesizing the design
                                -Specifying User Constraints
                                -Translate
                                -Map
                                -Place and Route [PAR]
                                -Generating BitMap File
                                - Configuration of selected Device
                    -Main project discussion

                                             Introduction            6
Sessions in a Different Way !!                                       We fill the sessions
                                                                                                        with our experience
                                                                            The way we control our
                                                                                                        through    Simulation
                                                                            sessions is why we are
                                                                                                        and synthesis notes .
                                                                            the best.

                             Exercises                                      During sessions there       &
   • Click to edit Master text styles
                                                                            are four main things we
Illustration                                                                do :                        There will be many
            ExamplesLabs           • Illustration                                                       Mini Projects during

           – Second level                                  mini-projects    •
                                                                            •
                                                                                Examples
                                                                                Exercises
                                                                                                        sessions to be familiar
                                                                                                        with projects.
                                                                            •   Labs
                   • Third level
                        Time for                                                                        The Main Project
                                                                                                        ,chosen carefully, will
                  Questions                                                 At first of every session
                –
Demoes Assignments Fourth               levelFast                           you will refresh your
                                                                                                        double the experience
                                                                                                        you gain during course
                                          Questions                         memory through MCQs
                                                                                                        sessions in Digital
                                   » Fifth level          Main-Project      and simple questions.
                                                                                                        Design Field . You will
          Summary           Refresh Your                                    During sessions there is
                                                                                                        be ready after this
                                                                                                        project    to     make
                              Memory                                        Demoes for parts we
                                                                                                        graduation project or
                                                                            will discuss later, parts
                                                                                                        even       your own
                                                                            out of our scope or for
         Start Notes                                                        our tutorials.
                                                                                                        projects.

                                                                                                        Before the end of the
                                                          Evaluation Test   At end of every session
                                                                                                        course an Evaluation
                                                                            there is a Time for
                                                                                                        Test    introduced by
                                                                            Questions, Assignments
                                                                                                        Start Group evaluates
 Logo used at top of each slide to show you what we are                     (Homework ).
                                                                                                        everyone and focuses
     talking about .. We will never let You puzzled
                                                                                                        on points of weakness.

                50 % Labs … 50 % Illustration
Download                     CD includes Start Group
     Tools Used during course                 Start Group                         materials
                                                                                      &
  • Click to edit Master text styles          material
                                                                                 references
                                                                                 is available

       – Second level
            • Third level
                   – Fourth level
                        » Fifth level
Spartan-3E Starter Kit Board                        Sessions
                                                     Slides
 Used during the course
                                 VHDL Guide
                                                                  Certified from Start Group 2011



                                   VHDL
                                 Summaries
                                                Tutorials

                                                               See You Next Session                 8

Weitere ähnliche Inhalte

Was ist angesagt? (6)

4Sem VTU-HDL Programming Notes-Unit1-Introduction
4Sem VTU-HDL Programming Notes-Unit1-Introduction4Sem VTU-HDL Programming Notes-Unit1-Introduction
4Sem VTU-HDL Programming Notes-Unit1-Introduction
 
Hdl
HdlHdl
Hdl
 
Presenter manual embedded systems (specially for summer interns)
Presenter manual   embedded systems (specially for summer interns)Presenter manual   embedded systems (specially for summer interns)
Presenter manual embedded systems (specially for summer interns)
 
Introduction to VHDL - Part 1
Introduction to VHDL - Part 1Introduction to VHDL - Part 1
Introduction to VHDL - Part 1
 
Verilog
VerilogVerilog
Verilog
 
2013 Hello GCC:The Theory, History and Future of System Linkers
2013 Hello GCC:The Theory, History and Future of System Linkers2013 Hello GCC:The Theory, History and Future of System Linkers
2013 Hello GCC:The Theory, History and Future of System Linkers
 

Ähnlich wie Intrduction to the course v.3

ITCamp 2012 - Raffaele Rialdi - Introduction to WinRT
ITCamp 2012 - Raffaele Rialdi - Introduction to WinRTITCamp 2012 - Raffaele Rialdi - Introduction to WinRT
ITCamp 2012 - Raffaele Rialdi - Introduction to WinRT
ITCamp
 
Parallel architecture-programming
Parallel architecture-programmingParallel architecture-programming
Parallel architecture-programming
Shaveta Banda
 
Parallel architecture &programming
Parallel architecture &programmingParallel architecture &programming
Parallel architecture &programming
Ismail El Gayar
 

Ähnlich wie Intrduction to the course v.3 (20)

ITCamp 2012 - Raffaele Rialdi - Introduction to WinRT
ITCamp 2012 - Raffaele Rialdi - Introduction to WinRTITCamp 2012 - Raffaele Rialdi - Introduction to WinRT
ITCamp 2012 - Raffaele Rialdi - Introduction to WinRT
 
Wordware 2011: Lingoport i18n Planning & Static Analysis
Wordware 2011: Lingoport i18n Planning & Static AnalysisWordware 2011: Lingoport i18n Planning & Static Analysis
Wordware 2011: Lingoport i18n Planning & Static Analysis
 
Exploring metaprogramming using Ruby language
Exploring metaprogramming using Ruby languageExploring metaprogramming using Ruby language
Exploring metaprogramming using Ruby language
 
Webinar: Applying REST to Network Management – An Implementor’s View
Webinar: Applying REST to Network Management – An Implementor’s View Webinar: Applying REST to Network Management – An Implementor’s View
Webinar: Applying REST to Network Management – An Implementor’s View
 
Big Data Cloud Meetup - Jan 29 2013 - Mike Stonebraker & Scott Jarr of VoltDB
Big Data Cloud Meetup - Jan 29 2013 - Mike Stonebraker & Scott Jarr of VoltDBBig Data Cloud Meetup - Jan 29 2013 - Mike Stonebraker & Scott Jarr of VoltDB
Big Data Cloud Meetup - Jan 29 2013 - Mike Stonebraker & Scott Jarr of VoltDB
 
Performance Management in ‘Big Data’ Applications
Performance Management in ‘Big Data’ ApplicationsPerformance Management in ‘Big Data’ Applications
Performance Management in ‘Big Data’ Applications
 
MBE Summit 2012
MBE Summit 2012MBE Summit 2012
MBE Summit 2012
 
XMpLant delivering interoperability
XMpLant delivering interoperabilityXMpLant delivering interoperability
XMpLant delivering interoperability
 
Acceleo Day - Orange
Acceleo Day - OrangeAcceleo Day - Orange
Acceleo Day - Orange
 
Parallel architecture-programming
Parallel architecture-programmingParallel architecture-programming
Parallel architecture-programming
 
Parallel architecture &programming
Parallel architecture &programmingParallel architecture &programming
Parallel architecture &programming
 
"Navigating the Database Universe" by Dr. Michael Stonebraker and Scott Jarr,...
"Navigating the Database Universe" by Dr. Michael Stonebraker and Scott Jarr,..."Navigating the Database Universe" by Dr. Michael Stonebraker and Scott Jarr,...
"Navigating the Database Universe" by Dr. Michael Stonebraker and Scott Jarr,...
 
Lecture1
Lecture1Lecture1
Lecture1
 
Applied Deep Learning with Spark and Deeplearning4j
Applied Deep Learning with Spark and Deeplearning4jApplied Deep Learning with Spark and Deeplearning4j
Applied Deep Learning with Spark and Deeplearning4j
 
C# Fundamental
C# FundamentalC# Fundamental
C# Fundamental
 
Deep learning with DL4J - Hadoop Summit 2015
Deep learning with DL4J - Hadoop Summit 2015Deep learning with DL4J - Hadoop Summit 2015
Deep learning with DL4J - Hadoop Summit 2015
 
EuroMPI 2013 presentation: McMPI
EuroMPI 2013 presentation: McMPIEuroMPI 2013 presentation: McMPI
EuroMPI 2013 presentation: McMPI
 
Software Engineering of Component-Based Systems-of-Systems: A Reference Frame...
Software Engineering of Component-Based Systems-of-Systems: A Reference Frame...Software Engineering of Component-Based Systems-of-Systems: A Reference Frame...
Software Engineering of Component-Based Systems-of-Systems: A Reference Frame...
 
EclipseConEurope2012 SOA - Models As Operational Documentation
EclipseConEurope2012 SOA - Models As Operational DocumentationEclipseConEurope2012 SOA - Models As Operational Documentation
EclipseConEurope2012 SOA - Models As Operational Documentation
 
Future Trends on Software and Systems Modeling
Future Trends on Software and Systems ModelingFuture Trends on Software and Systems Modeling
Future Trends on Software and Systems Modeling
 

Kürzlich hochgeladen

Kürzlich hochgeladen (20)

Boost PC performance: How more available memory can improve productivity
Boost PC performance: How more available memory can improve productivityBoost PC performance: How more available memory can improve productivity
Boost PC performance: How more available memory can improve productivity
 
Understanding Discord NSFW Servers A Guide for Responsible Users.pdf
Understanding Discord NSFW Servers A Guide for Responsible Users.pdfUnderstanding Discord NSFW Servers A Guide for Responsible Users.pdf
Understanding Discord NSFW Servers A Guide for Responsible Users.pdf
 
What Are The Drone Anti-jamming Systems Technology?
What Are The Drone Anti-jamming Systems Technology?What Are The Drone Anti-jamming Systems Technology?
What Are The Drone Anti-jamming Systems Technology?
 
Breaking the Kubernetes Kill Chain: Host Path Mount
Breaking the Kubernetes Kill Chain: Host Path MountBreaking the Kubernetes Kill Chain: Host Path Mount
Breaking the Kubernetes Kill Chain: Host Path Mount
 
A Year of the Servo Reboot: Where Are We Now?
A Year of the Servo Reboot: Where Are We Now?A Year of the Servo Reboot: Where Are We Now?
A Year of the Servo Reboot: Where Are We Now?
 
How to convert PDF to text with Nanonets
How to convert PDF to text with NanonetsHow to convert PDF to text with Nanonets
How to convert PDF to text with Nanonets
 
🐬 The future of MySQL is Postgres 🐘
🐬  The future of MySQL is Postgres   🐘🐬  The future of MySQL is Postgres   🐘
🐬 The future of MySQL is Postgres 🐘
 
Raspberry Pi 5: Challenges and Solutions in Bringing up an OpenGL/Vulkan Driv...
Raspberry Pi 5: Challenges and Solutions in Bringing up an OpenGL/Vulkan Driv...Raspberry Pi 5: Challenges and Solutions in Bringing up an OpenGL/Vulkan Driv...
Raspberry Pi 5: Challenges and Solutions in Bringing up an OpenGL/Vulkan Driv...
 
Real Time Object Detection Using Open CV
Real Time Object Detection Using Open CVReal Time Object Detection Using Open CV
Real Time Object Detection Using Open CV
 
TrustArc Webinar - Stay Ahead of US State Data Privacy Law Developments
TrustArc Webinar - Stay Ahead of US State Data Privacy Law DevelopmentsTrustArc Webinar - Stay Ahead of US State Data Privacy Law Developments
TrustArc Webinar - Stay Ahead of US State Data Privacy Law Developments
 
Factors to Consider When Choosing Accounts Payable Services Providers.pptx
Factors to Consider When Choosing Accounts Payable Services Providers.pptxFactors to Consider When Choosing Accounts Payable Services Providers.pptx
Factors to Consider When Choosing Accounts Payable Services Providers.pptx
 
[2024]Digital Global Overview Report 2024 Meltwater.pdf
[2024]Digital Global Overview Report 2024 Meltwater.pdf[2024]Digital Global Overview Report 2024 Meltwater.pdf
[2024]Digital Global Overview Report 2024 Meltwater.pdf
 
Handwritten Text Recognition for manuscripts and early printed texts
Handwritten Text Recognition for manuscripts and early printed textsHandwritten Text Recognition for manuscripts and early printed texts
Handwritten Text Recognition for manuscripts and early printed texts
 
Axa Assurance Maroc - Insurer Innovation Award 2024
Axa Assurance Maroc - Insurer Innovation Award 2024Axa Assurance Maroc - Insurer Innovation Award 2024
Axa Assurance Maroc - Insurer Innovation Award 2024
 
08448380779 Call Girls In Friends Colony Women Seeking Men
08448380779 Call Girls In Friends Colony Women Seeking Men08448380779 Call Girls In Friends Colony Women Seeking Men
08448380779 Call Girls In Friends Colony Women Seeking Men
 
Exploring the Future Potential of AI-Enabled Smartphone Processors
Exploring the Future Potential of AI-Enabled Smartphone ProcessorsExploring the Future Potential of AI-Enabled Smartphone Processors
Exploring the Future Potential of AI-Enabled Smartphone Processors
 
Data Cloud, More than a CDP by Matt Robison
Data Cloud, More than a CDP by Matt RobisonData Cloud, More than a CDP by Matt Robison
Data Cloud, More than a CDP by Matt Robison
 
The Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdf
The Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdfThe Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdf
The Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdf
 
08448380779 Call Girls In Civil Lines Women Seeking Men
08448380779 Call Girls In Civil Lines Women Seeking Men08448380779 Call Girls In Civil Lines Women Seeking Men
08448380779 Call Girls In Civil Lines Women Seeking Men
 
Finology Group – Insurtech Innovation Award 2024
Finology Group – Insurtech Innovation Award 2024Finology Group – Insurtech Innovation Award 2024
Finology Group – Insurtech Innovation Award 2024
 

Intrduction to the course v.3

  • 1. Summer 2012 3rd Intake • Click to edit Master text styles Introduction to the Course – Second level Digital Design using VHDL • Third level Start Group – Fourth level » Fifth level Introduced by  About Start Group  Digital Design using VHDL course  Sessions Plan Cairo-Egypt  Course Outline Introduction 1
  • 2. about Start Group • Click to edit Master text styles Mahmoud Abdellatif – Second level Alaa Salah Shehata Mohamed level • Third Salah Mohamed Talaat – Fourth level » Fifth level start.courses@gmail.com www.slideshare.net/StartGroup www.facebook.com/groups/start.group www.startgroup.weebly.com + 02 0122-4504158 M.A www.youtube.com/StartGroup2011 + 02 0128-0090250 A.S Introduction 2
  • 3. Digital Design using VHDL course Course overview • Click to edit Master text styles •Obtain a general appreciation of what VHDL is as a hardware description language, and how it is used in the hardware design process. – Second level • Get a comprehensive overview about the VHDL language. • Create synthesizable models (behavioral coding style) • Third level • Use VHDL component instantiations to create hierarchy (structural coding style) – role of level •Understand theFourthtest-benches in gate level simulation Course Application » Fifth level • Please send to start.courses@gmail.com • In E-mail subject write “Digital Design Course” • in E-mail body write Full Name University Department and Year (example : ECE 2014 – CSE2013 …) Mobile number Course prerequisites Basic Digital /Logic concepts Course Duration 32 Hours / 10 Sessions Course Costs 400 LE Introduction 3
  • 4. Course Outline Session One -Introduction to the course Session Three • Click to edit Master text styles -Introduction to Digital Design -Introduction to VHDL -Concurrent Statements -Assign statement -Process -What is VHDL – Second level -Why VHDL -when-else -ASIC and FPGA Design Flow -with-select-when -How to read a VHDL code -Combinational circuits • Third level -Libraries and Packages -Entity -Multiplexers, Encoders and Decoders -Modeling concurrency -Architecture -Events and Transactions – Fourth level -Basic data types : BIT vs STD_LOGIC -Data Objects -Simple Combinational logic circuits -External and Internal Signals » Fifth level -Demo 1 : Using Modelsim and Xilinx tools -Variables -Constants Session Two -Data Objects : Signals -Statements in VHDL Session Four -Sequential Statements -Data Operators -What is Process -Aggregate -If statement -Concatenation -Case Statement -Attributes -Combinational circuits -Other data operators -Multiplexers, Encoders and Decoders -Simple Counters -Resource sharing -Controlled counters -Sequential Circuits -Rotating LEDs -Flip Flops (DFF-TFF) -Bi-direction Rotating LEDs -Latches -mini-Project no.1 Introduction 4
  • 5. Course Outline Session Five • Click to edit Master text styles -Data types -Scalar types Session Eight -Evaluation test -Composite types – Second level -User defined types -Modeling memories -Digital Arithmetic -Generating IP cores -Simulating IP Cores -ROMs • Third level -RAMs -mini-project no.2 -Xilinx Lang. Templates Session Six – Fourth level Session Nine -Testbenches » Fifth level -Why -FSM finite state machines -How to generate testbench -More machine -Using Do files -Mealy machine -Modelsim Simulation notes -FSM in VHDL -Loops -Vending machine example -For-Loop -String Detectors -While-Loop -Wait Statement Session Seven -Assert Statement -Design styles -Functions and Procedures -Structural Description -Reading and Writing in files -Components Instantiation -Generic Statement -FOR-GENERATE statement Session 10  FPGA workshop -Packages -VHDL Guide Introduction 5
  • 6. FPGA Workshop After 9th Session Start Group introduces the last session • Click to edit Master text styles using sparton-3E FPGA – Second -VHDL summary FPGA WorkShop level -Introduction to FPGA • Third level -FPGA Structure -Sparton-3E FPGA – Fourth level on FPGA -Implementation » Fifth level -Introduction to Xilinx -Xilinx Tool Flow -Xilinx Product Families -Selection of Xilinx Device -Synthesizing the design -Specifying User Constraints -Translate -Map -Place and Route [PAR] -Generating BitMap File - Configuration of selected Device -Main project discussion Introduction 6
  • 7. Sessions in a Different Way !! We fill the sessions with our experience The way we control our through Simulation sessions is why we are and synthesis notes . the best. Exercises During sessions there & • Click to edit Master text styles are four main things we Illustration do : There will be many ExamplesLabs • Illustration Mini Projects during – Second level mini-projects • • Examples Exercises sessions to be familiar with projects. • Labs • Third level Time for The Main Project ,chosen carefully, will Questions At first of every session – Demoes Assignments Fourth levelFast you will refresh your double the experience you gain during course Questions memory through MCQs sessions in Digital » Fifth level Main-Project and simple questions. Design Field . You will Summary Refresh Your During sessions there is be ready after this project to make Memory Demoes for parts we graduation project or will discuss later, parts even your own out of our scope or for Start Notes our tutorials. projects. Before the end of the Evaluation Test At end of every session course an Evaluation there is a Time for Test introduced by Questions, Assignments Start Group evaluates Logo used at top of each slide to show you what we are (Homework ). everyone and focuses talking about .. We will never let You puzzled on points of weakness. 50 % Labs … 50 % Illustration
  • 8. Download CD includes Start Group Tools Used during course Start Group materials & • Click to edit Master text styles material references is available – Second level • Third level – Fourth level » Fifth level Spartan-3E Starter Kit Board Sessions Slides Used during the course VHDL Guide Certified from Start Group 2011 VHDL Summaries Tutorials See You Next Session  8