SlideShare ist ein Scribd-Unternehmen logo
1 von 1
Downloaden Sie, um offline zu lesen
The Top 20 Errors in VHDL
                             .. Most Common VHDL Errors
                                                                             Ref. VHDL Golden Reference, Dulous




This is a list of the most common VHDL errors. The Top 10 account for about

40% of all errors. The Top 20 account for about 60% of all errors.



1-10
- Missing or misplaced begin in architecture / process / subprogram              Errors
- Swapping <= := and =
                                                                       Top Ten Errors     Next Ten Errors
- Missing or extra end if / end case / end loop / end process etc...
                                                                       Other Errors
- Wrong quotes around characters / strings / integers
- Incompatible types in assignments / operators                        40%
                                                                                                       40%
- Missing or extra ; at end of declaration / statement
- Misspelt identifier
- Undefined signal / variable / constant                                  20%
- Missing library / use
- Wrong separator , / ; / : / .




11-20
- Missing sensitivity list / wait statement in process
- Sensitivity list and wait statement in same process
- Same name used twice
- elseif or endif (instead of elsif and end if respectively)
- Using the wrong user defined identifier
- Extra / missing / mistyped character
- Missing signals in sensitivity list
- Mismatched vector lengths
- Using a reserved identifier
- Reading out ports




                                                                                                             24 August 2012

Weitere ähnliche Inhalte

Mehr von Start Group

Mehr von Start Group (6)

Session 03 v.3
Session 03 v.3Session 03 v.3
Session 03 v.3
 
Refresh your memory 1
Refresh your memory 1Refresh your memory 1
Refresh your memory 1
 
Tutorial 1
Tutorial 1Tutorial 1
Tutorial 1
 
Session 02 v.3
Session 02 v.3Session 02 v.3
Session 02 v.3
 
Session 01 v.3
Session 01 v.3Session 01 v.3
Session 01 v.3
 
Intrduction to the course v.3
Intrduction to the course v.3 Intrduction to the course v.3
Intrduction to the course v.3
 

Top 20 Most Common VHDL Errors

  • 1. The Top 20 Errors in VHDL .. Most Common VHDL Errors Ref. VHDL Golden Reference, Dulous This is a list of the most common VHDL errors. The Top 10 account for about 40% of all errors. The Top 20 account for about 60% of all errors. 1-10 - Missing or misplaced begin in architecture / process / subprogram Errors - Swapping <= := and = Top Ten Errors Next Ten Errors - Missing or extra end if / end case / end loop / end process etc... Other Errors - Wrong quotes around characters / strings / integers - Incompatible types in assignments / operators 40% 40% - Missing or extra ; at end of declaration / statement - Misspelt identifier - Undefined signal / variable / constant 20% - Missing library / use - Wrong separator , / ; / : / . 11-20 - Missing sensitivity list / wait statement in process - Sensitivity list and wait statement in same process - Same name used twice - elseif or endif (instead of elsif and end if respectively) - Using the wrong user defined identifier - Extra / missing / mistyped character - Missing signals in sensitivity list - Mismatched vector lengths - Using a reserved identifier - Reading out ports 24 August 2012