SlideShare a Scribd company logo
1 of 36
Parallel Processing
Computer Organizations
Multiple Processor Organization
• Single instruction, single data stream – SISD
• Single instruction, multiple data stream – SIMD
• Multiple instruction, single data stream – MISD
• Multiple instruction, multiple data stream- MIMD
Single Instruction, Single Data Stream - SISD
• Single processor
• Single instruction stream
• Data stored in single memory
Single Instruction, Multiple Data Stream - SIMD
• Single machine instruction
— Each instruction executed on different set of data by
different processors
• Number of processing elements
— Machine controls simultaneous execution
– Lockstep basis
— Each processing element has associated data memory
• Application: Vector and array processing
Multiple Instruction, Single Data Stream - MISD
• Sequence of data
• Transmitted to set of processors
• Each processor executes different instruction
sequence
• Not clear if it has ever been implemented
Multiple Instruction, Multiple Data Stream- MIMD
• Set of processors
• Simultaneously executes different instruction
sequences
• Different sets of data
• Examples: SMPs, NUMA systems, and Clusters
Taxonomy of Parallel Processor Architectures
Block Diagram of Tightly Coupled Multiprocessor
• Processors share memory
• Communicate via that shared memory
Symmetric Multiprocessor Organization
Symmetric Multiprocessors
• A stand alone computer with the following
characteristics
— Two or more similar processors of comparable capacity
— Processors share same memory and I/O
— Processors are connected by a bus or other internal
connection
— Memory access time is approximately the same for each
processor
— All processors share access to I/O
– Either through same channels or different channels giving paths
to same devices
— All processors can perform the same functions (hence
symmetric)
— System controlled by integrated operating system
– providing interaction between processors
– Interaction at job, task, file and data element levels
IBM z990
Multiprocessor
Structure
SMP Advantages
• Performance
—If some work can be done in parallel
• Availability
—Since all processors can perform the same
functions, failure of a single processor does not
halt the system
• Incremental growth
—User can enhance performance by adding
additional processors
• Scaling
—Vendors can offer range of products based on
number of processors
Cache Coherence Problems
Popular solution - Snoopy Protocol
• Distribute cache coherence responsibility among
cache controllers
• Cache recognizes that a line is shared
• Updates announced to other caches
Loosely Coupled - Clusters
• Collection of independent whole uniprocessors or SMPs
— Usually called nodes
• Interconnected to form a cluster
• Working together as unified resource
— Illusion of being one machine
• Communication via fixed path or network connections
Cluster Configurations
Cluster Benefits
• Absolute scalability
• Incremental scalability
• High availability
• Superior price/performance
Cluster Computer Architecture
Cluster v. SMP
• Both provide multiprocessor support to high
demand applications.
• Both available commercially
• SMP:
—Easier to manage and control
—Closer to single processor systems
– Scheduling is main difference
– Less physical space
– Lower power consumption
• Clustering:
—Superior incremental & absolute scalability
—Less cost
—Superior availability
– Redundancy
Nonuniform Memory Access (NUMA)
(Tightly coupled)
• Alternative to SMP & Clusters
• Nonuniform memory access
— All processors have access to all parts of memory
– Using load & store
— Access time of processor differs depending on region of memory
– Different processors access different regions of memory at different
speeds
• Cache coherent NUMA ?
— Cache coherence is maintained among the caches of the various
processors
— Significantly different from SMP and Clusters
Motivation
• SMP has practical limit to number of
processors
—Bus traffic limits to between 16 and 64 processors
• In clusters each node has own memory
—Apps do not see large global memory
—Coherence maintained by software not hardware
• NUMA retains SMP flavour while giving large
scale multiprocessing
• Objective is to maintain transparent system
wide memory while permitting multiprocessor
nodes, each with own bus or internal
interconnection system
CC-NUMA Organization
NUMA Pros & Cons
• Possibly effective performance at higher
levels of parallelism than one SMP
• Not very supportive of software changes
• Performance can breakdown if too much
access to remote memory
—Can be avoided by:
– L1 & L2 cache design reducing all memory access
+ Need good temporal locality of software
• Not transparent
—Page allocation, process allocation and load
balancing changes can be difficult
• Availability?
Multithreading
• Instruction stream divided into smaller streams
(threads)
• Executed in parallel
• There are a wide variety of multithreading designs
Definitions of Threads and Processes
• Threads in multithreaded processors may or may not
be same as software threads
• Process:
— An instance of program running on computer
• Thread: dispatchable unit of work within process
— Includes processor context (which includes the program
counter and stack pointer) and data area for stack
— Thread executes sequentially
— Interruptible: processor can turn to another thread
• Thread switch
— Switching processor between threads within same process
— Typically less costly than process switch
Implicit and Explicit Multithreading
• All commercial processors and most
experimental ones use explicit multithreading
—Concurrently execute instructions from different
explicit threads
—Interleave instructions from different threads on
shared pipelines or parallel execution on parallel
pipelines
• Implicit multithreading is concurrent
execution of multiple threads extracted from
single sequential program
—Implicit threads defined statically by compiler or
dynamically by hardware
Approaches to Explicit Multithreading
• Interleaved
— Fine-grained
— Processor deals with two or more thread contexts at a time
— Switching thread at each clock cycle
— If thread is blocked it is skipped
• Blocked
— Coarse-grained
— Thread executed until event causes delay
— E.g. cache miss
— Effective on in-order processor
— Avoids pipeline stall
• Simultaneous (SMT)
— Instructions simultaneously issued from multiple threads to
execution units of superscalar processor
• Chip multiprocessing
— Processor is replicated on a single chip
— Each processor handles separate threads
Scalar Processor Approaches
• Single-threaded scalar
—Simple pipeline
—No multithreading
• Interleaved multithreaded scalar
—Easiest multithreading to implement
—Switch threads at each clock cycle
—Pipeline stages kept close to fully occupied
—Hardware needs to switch thread context between
cycles
• Blocked multithreaded scalar
—Thread executed until latency event occurs
—Would stop pipeline
—Processor switches to another thread
Scalar Diagrams
Multiple Instruction Issue Processors
• Superscalar
— No multithreading
• Interleaved multithreading superscalar:
— Each cycle, as many instructions as possible issued from
single thread
— Delays due to thread switches eliminated
— Number of instructions issued in cycle limited by
dependencies
• Blocked multithreaded superscalar
— Instructions from one thread
— Blocked multithreading used
Multiple Instruction Issue Diagram
Multiple Instruction Issue Processors
• Very long instruction word (VLIW)
—E.g. IA-64
—Multiple instructions in single word
—Typically constructed by compiler
—Operations may be executed in parallel in same word
—May pad with no-ops
• Interleaved multithreading VLIW
—Similar efficiencies to interleaved multithreading on
superscalar architecture
• Blocked multithreaded VLIW
—Similar efficiencies to blocked multithreading on
superscalar architecture
Multiple Instruction Issue Diagram
Parallel, Simultaneous
Execution of Multiple Threads
• Simultaneous multithreading
—Issue multiple instructions at a time
—One thread may fill all horizontal slots
—Instructions from two or more threads may be
issued
—With enough threads, can issue maximum number
of instructions on each cycle
• Chip multiprocessor
—Multiple processors
—Each has two-issue superscalar processor
—Each processor is assigned thread
– Can issue up to two instructions per cycle per thread
Parallel Diagram
Examples
• Some Pentium 4 (single processor)
—Intel calls it hyperthreading
—SMT with support for two threads
—Single multithreaded processor, logically two
processors
• IBM Power5
—High-end PowerPC
—Combines chip multiprocessing with SMT
—Chip has two separate processors
—Each supporting two threads concurrently using
SMT

More Related Content

What's hot

Parallel Programming
Parallel ProgrammingParallel Programming
Parallel Programming
Uday Sharma
 
Parallel Processing Presentation2
Parallel Processing Presentation2Parallel Processing Presentation2
Parallel Processing Presentation2
daniyalqureshi712
 
Process Synchronization
Process SynchronizationProcess Synchronization
Process Synchronization
Sonali Chauhan
 

What's hot (20)

Parallel processing
Parallel processingParallel processing
Parallel processing
 
6.distributed shared memory
6.distributed shared memory6.distributed shared memory
6.distributed shared memory
 
Presentation on flynn’s classification
Presentation on flynn’s classificationPresentation on flynn’s classification
Presentation on flynn’s classification
 
Cache coherence ppt
Cache coherence pptCache coherence ppt
Cache coherence ppt
 
Parallel Programming
Parallel ProgrammingParallel Programming
Parallel Programming
 
Parallel Processing
Parallel ProcessingParallel Processing
Parallel Processing
 
1.prallelism
1.prallelism1.prallelism
1.prallelism
 
Deadlocks in operating system
Deadlocks in operating systemDeadlocks in operating system
Deadlocks in operating system
 
Introduction to parallel processing
Introduction to parallel processingIntroduction to parallel processing
Introduction to parallel processing
 
Virtual memory
Virtual memoryVirtual memory
Virtual memory
 
ADVANCED COMPUTER ARCHITECTURE AND PARALLEL PROCESSING
ADVANCED COMPUTER ARCHITECTUREAND PARALLEL PROCESSINGADVANCED COMPUTER ARCHITECTUREAND PARALLEL PROCESSING
ADVANCED COMPUTER ARCHITECTURE AND PARALLEL PROCESSING
 
Multiprocessor architecture
Multiprocessor architectureMultiprocessor architecture
Multiprocessor architecture
 
Parallel Processing Presentation2
Parallel Processing Presentation2Parallel Processing Presentation2
Parallel Processing Presentation2
 
program partitioning and scheduling IN Advanced Computer Architecture
program partitioning and scheduling  IN Advanced Computer Architectureprogram partitioning and scheduling  IN Advanced Computer Architecture
program partitioning and scheduling IN Advanced Computer Architecture
 
Disk scheduling
Disk schedulingDisk scheduling
Disk scheduling
 
VLIW Processors
VLIW ProcessorsVLIW Processors
VLIW Processors
 
Multiprocessor
MultiprocessorMultiprocessor
Multiprocessor
 
Feng’s classification
Feng’s classificationFeng’s classification
Feng’s classification
 
Ch4 memory management
Ch4 memory managementCh4 memory management
Ch4 memory management
 
Process Synchronization
Process SynchronizationProcess Synchronization
Process Synchronization
 

Similar to Parallel processing

chapter-18-parallel-processing-multiprocessing (1).ppt
chapter-18-parallel-processing-multiprocessing (1).pptchapter-18-parallel-processing-multiprocessing (1).ppt
chapter-18-parallel-processing-multiprocessing (1).ppt
NANDHINIS109942
 
Module2 MultiThreads.ppt
Module2 MultiThreads.pptModule2 MultiThreads.ppt
Module2 MultiThreads.ppt
shreesha16
 

Similar to Parallel processing (20)

parallel-processing.ppt
parallel-processing.pptparallel-processing.ppt
parallel-processing.ppt
 
18 parallel processing
18 parallel processing18 parallel processing
18 parallel processing
 
Parallel processing extra
Parallel processing extraParallel processing extra
Parallel processing extra
 
Lecture4
Lecture4Lecture4
Lecture4
 
Week 13-14 Parrallel Processing-new.pptx
Week 13-14 Parrallel Processing-new.pptxWeek 13-14 Parrallel Processing-new.pptx
Week 13-14 Parrallel Processing-new.pptx
 
parallel processing.ppt
parallel processing.pptparallel processing.ppt
parallel processing.ppt
 
chapter-18-parallel-processing-multiprocessing (1).ppt
chapter-18-parallel-processing-multiprocessing (1).pptchapter-18-parallel-processing-multiprocessing (1).ppt
chapter-18-parallel-processing-multiprocessing (1).ppt
 
Operating system 20 threads
Operating system 20 threadsOperating system 20 threads
Operating system 20 threads
 
OS_MD_4.pdf
OS_MD_4.pdfOS_MD_4.pdf
OS_MD_4.pdf
 
unit 4.pptx
unit 4.pptxunit 4.pptx
unit 4.pptx
 
unit 4.pptx
unit 4.pptxunit 4.pptx
unit 4.pptx
 
Threads.ppt
Threads.pptThreads.ppt
Threads.ppt
 
Module2 MultiThreads.ppt
Module2 MultiThreads.pptModule2 MultiThreads.ppt
Module2 MultiThreads.ppt
 
Hardware Multithreading.pdf
Hardware Multithreading.pdfHardware Multithreading.pdf
Hardware Multithreading.pdf
 
Multicore processor.pdf
Multicore processor.pdfMulticore processor.pdf
Multicore processor.pdf
 
CA UNIT IV.pptx
CA UNIT IV.pptxCA UNIT IV.pptx
CA UNIT IV.pptx
 
chapter4-processes nd processors in DS.ppt
chapter4-processes nd processors in DS.pptchapter4-processes nd processors in DS.ppt
chapter4-processes nd processors in DS.ppt
 
22CS201 COA
22CS201 COA22CS201 COA
22CS201 COA
 
CSA unit5.pptx
CSA unit5.pptxCSA unit5.pptx
CSA unit5.pptx
 
Parallel and Distributed Computing chapter 3
Parallel and Distributed Computing chapter 3Parallel and Distributed Computing chapter 3
Parallel and Distributed Computing chapter 3
 

More from Shivalik college of engineering

More from Shivalik college of engineering (20)

Front pages of practical file
Front pages of practical fileFront pages of practical file
Front pages of practical file
 
Algorithms Question bank
Algorithms Question bankAlgorithms Question bank
Algorithms Question bank
 
Video streaming
Video streamingVideo streaming
Video streaming
 
Infosystestpattern
InfosystestpatternInfosystestpattern
Infosystestpattern
 
Mydbms
MydbmsMydbms
Mydbms
 
Introduction to xml
Introduction to xmlIntroduction to xml
Introduction to xml
 
Net overview
Net overviewNet overview
Net overview
 
java vs C#
java vs C#java vs C#
java vs C#
 
stack presentation
stack presentationstack presentation
stack presentation
 
sear
searsear
sear
 
Dbms lab file format front page
Dbms lab file format front pageDbms lab file format front page
Dbms lab file format front page
 
Question bank toafl
Question bank toaflQuestion bank toafl
Question bank toafl
 
computer architecture.
computer architecture.computer architecture.
computer architecture.
 
SQA presenatation made by krishna ballabh gupta
SQA presenatation made by krishna ballabh guptaSQA presenatation made by krishna ballabh gupta
SQA presenatation made by krishna ballabh gupta
 
Webapplication ppt prepared by krishna ballabh gupta
Webapplication ppt prepared by krishna ballabh guptaWebapplication ppt prepared by krishna ballabh gupta
Webapplication ppt prepared by krishna ballabh gupta
 
Cloud computing prepare by krishna ballabh gupta
Cloud computing prepare by krishna ballabh guptaCloud computing prepare by krishna ballabh gupta
Cloud computing prepare by krishna ballabh gupta
 
Cloud computing kb gupta
Cloud computing kb guptaCloud computing kb gupta
Cloud computing kb gupta
 
comparing windows and linux ppt
comparing windows and linux pptcomparing windows and linux ppt
comparing windows and linux ppt
 
Gsm an introduction....
Gsm an introduction....Gsm an introduction....
Gsm an introduction....
 
Gsm an introduction....
Gsm an introduction....Gsm an introduction....
Gsm an introduction....
 

Recently uploaded

+971565801893>>SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHAB...
+971565801893>>SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHAB...+971565801893>>SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHAB...
+971565801893>>SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHAB...
Health
 
CALL ON ➥8923113531 🔝Call Girls Badshah Nagar Lucknow best Female service
CALL ON ➥8923113531 🔝Call Girls Badshah Nagar Lucknow best Female serviceCALL ON ➥8923113531 🔝Call Girls Badshah Nagar Lucknow best Female service
CALL ON ➥8923113531 🔝Call Girls Badshah Nagar Lucknow best Female service
anilsa9823
 
CHEAP Call Girls in Pushp Vihar (-DELHI )🔝 9953056974🔝(=)/CALL GIRLS SERVICE
CHEAP Call Girls in Pushp Vihar (-DELHI )🔝 9953056974🔝(=)/CALL GIRLS SERVICECHEAP Call Girls in Pushp Vihar (-DELHI )🔝 9953056974🔝(=)/CALL GIRLS SERVICE
CHEAP Call Girls in Pushp Vihar (-DELHI )🔝 9953056974🔝(=)/CALL GIRLS SERVICE
9953056974 Low Rate Call Girls In Saket, Delhi NCR
 

Recently uploaded (20)

Try MyIntelliAccount Cloud Accounting Software As A Service Solution Risk Fre...
Try MyIntelliAccount Cloud Accounting Software As A Service Solution Risk Fre...Try MyIntelliAccount Cloud Accounting Software As A Service Solution Risk Fre...
Try MyIntelliAccount Cloud Accounting Software As A Service Solution Risk Fre...
 
The Real-World Challenges of Medical Device Cybersecurity- Mitigating Vulnera...
The Real-World Challenges of Medical Device Cybersecurity- Mitigating Vulnera...The Real-World Challenges of Medical Device Cybersecurity- Mitigating Vulnera...
The Real-World Challenges of Medical Device Cybersecurity- Mitigating Vulnera...
 
Right Money Management App For Your Financial Goals
Right Money Management App For Your Financial GoalsRight Money Management App For Your Financial Goals
Right Money Management App For Your Financial Goals
 
Vip Call Girls Noida ➡️ Delhi ➡️ 9999965857 No Advance 24HRS Live
Vip Call Girls Noida ➡️ Delhi ➡️ 9999965857 No Advance 24HRS LiveVip Call Girls Noida ➡️ Delhi ➡️ 9999965857 No Advance 24HRS Live
Vip Call Girls Noida ➡️ Delhi ➡️ 9999965857 No Advance 24HRS Live
 
+971565801893>>SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHAB...
+971565801893>>SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHAB...+971565801893>>SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHAB...
+971565801893>>SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHAB...
 
Steps To Getting Up And Running Quickly With MyTimeClock Employee Scheduling ...
Steps To Getting Up And Running Quickly With MyTimeClock Employee Scheduling ...Steps To Getting Up And Running Quickly With MyTimeClock Employee Scheduling ...
Steps To Getting Up And Running Quickly With MyTimeClock Employee Scheduling ...
 
5 Signs You Need a Fashion PLM Software.pdf
5 Signs You Need a Fashion PLM Software.pdf5 Signs You Need a Fashion PLM Software.pdf
5 Signs You Need a Fashion PLM Software.pdf
 
CALL ON ➥8923113531 🔝Call Girls Badshah Nagar Lucknow best Female service
CALL ON ➥8923113531 🔝Call Girls Badshah Nagar Lucknow best Female serviceCALL ON ➥8923113531 🔝Call Girls Badshah Nagar Lucknow best Female service
CALL ON ➥8923113531 🔝Call Girls Badshah Nagar Lucknow best Female service
 
CHEAP Call Girls in Pushp Vihar (-DELHI )🔝 9953056974🔝(=)/CALL GIRLS SERVICE
CHEAP Call Girls in Pushp Vihar (-DELHI )🔝 9953056974🔝(=)/CALL GIRLS SERVICECHEAP Call Girls in Pushp Vihar (-DELHI )🔝 9953056974🔝(=)/CALL GIRLS SERVICE
CHEAP Call Girls in Pushp Vihar (-DELHI )🔝 9953056974🔝(=)/CALL GIRLS SERVICE
 
A Secure and Reliable Document Management System is Essential.docx
A Secure and Reliable Document Management System is Essential.docxA Secure and Reliable Document Management System is Essential.docx
A Secure and Reliable Document Management System is Essential.docx
 
Hand gesture recognition PROJECT PPT.pptx
Hand gesture recognition PROJECT PPT.pptxHand gesture recognition PROJECT PPT.pptx
Hand gesture recognition PROJECT PPT.pptx
 
Shapes for Sharing between Graph Data Spaces - and Epistemic Querying of RDF-...
Shapes for Sharing between Graph Data Spaces - and Epistemic Querying of RDF-...Shapes for Sharing between Graph Data Spaces - and Epistemic Querying of RDF-...
Shapes for Sharing between Graph Data Spaces - and Epistemic Querying of RDF-...
 
Software Quality Assurance Interview Questions
Software Quality Assurance Interview QuestionsSoftware Quality Assurance Interview Questions
Software Quality Assurance Interview Questions
 
How To Troubleshoot Collaboration Apps for the Modern Connected Worker
How To Troubleshoot Collaboration Apps for the Modern Connected WorkerHow To Troubleshoot Collaboration Apps for the Modern Connected Worker
How To Troubleshoot Collaboration Apps for the Modern Connected Worker
 
Reassessing the Bedrock of Clinical Function Models: An Examination of Large ...
Reassessing the Bedrock of Clinical Function Models: An Examination of Large ...Reassessing the Bedrock of Clinical Function Models: An Examination of Large ...
Reassessing the Bedrock of Clinical Function Models: An Examination of Large ...
 
The Ultimate Test Automation Guide_ Best Practices and Tips.pdf
The Ultimate Test Automation Guide_ Best Practices and Tips.pdfThe Ultimate Test Automation Guide_ Best Practices and Tips.pdf
The Ultimate Test Automation Guide_ Best Practices and Tips.pdf
 
Unveiling the Tech Salsa of LAMs with Janus in Real-Time Applications
Unveiling the Tech Salsa of LAMs with Janus in Real-Time ApplicationsUnveiling the Tech Salsa of LAMs with Janus in Real-Time Applications
Unveiling the Tech Salsa of LAMs with Janus in Real-Time Applications
 
Optimizing AI for immediate response in Smart CCTV
Optimizing AI for immediate response in Smart CCTVOptimizing AI for immediate response in Smart CCTV
Optimizing AI for immediate response in Smart CCTV
 
W01_panagenda_Navigating-the-Future-with-The-Hitchhikers-Guide-to-Notes-and-D...
W01_panagenda_Navigating-the-Future-with-The-Hitchhikers-Guide-to-Notes-and-D...W01_panagenda_Navigating-the-Future-with-The-Hitchhikers-Guide-to-Notes-and-D...
W01_panagenda_Navigating-the-Future-with-The-Hitchhikers-Guide-to-Notes-and-D...
 
Diamond Application Development Crafting Solutions with Precision
Diamond Application Development Crafting Solutions with PrecisionDiamond Application Development Crafting Solutions with Precision
Diamond Application Development Crafting Solutions with Precision
 

Parallel processing

  • 3. Multiple Processor Organization • Single instruction, single data stream – SISD • Single instruction, multiple data stream – SIMD • Multiple instruction, single data stream – MISD • Multiple instruction, multiple data stream- MIMD
  • 4. Single Instruction, Single Data Stream - SISD • Single processor • Single instruction stream • Data stored in single memory
  • 5. Single Instruction, Multiple Data Stream - SIMD • Single machine instruction — Each instruction executed on different set of data by different processors • Number of processing elements — Machine controls simultaneous execution – Lockstep basis — Each processing element has associated data memory • Application: Vector and array processing
  • 6. Multiple Instruction, Single Data Stream - MISD • Sequence of data • Transmitted to set of processors • Each processor executes different instruction sequence • Not clear if it has ever been implemented
  • 7. Multiple Instruction, Multiple Data Stream- MIMD • Set of processors • Simultaneously executes different instruction sequences • Different sets of data • Examples: SMPs, NUMA systems, and Clusters
  • 8. Taxonomy of Parallel Processor Architectures
  • 9. Block Diagram of Tightly Coupled Multiprocessor • Processors share memory • Communicate via that shared memory
  • 11. Symmetric Multiprocessors • A stand alone computer with the following characteristics — Two or more similar processors of comparable capacity — Processors share same memory and I/O — Processors are connected by a bus or other internal connection — Memory access time is approximately the same for each processor — All processors share access to I/O – Either through same channels or different channels giving paths to same devices — All processors can perform the same functions (hence symmetric) — System controlled by integrated operating system – providing interaction between processors – Interaction at job, task, file and data element levels
  • 13. SMP Advantages • Performance —If some work can be done in parallel • Availability —Since all processors can perform the same functions, failure of a single processor does not halt the system • Incremental growth —User can enhance performance by adding additional processors • Scaling —Vendors can offer range of products based on number of processors
  • 14. Cache Coherence Problems Popular solution - Snoopy Protocol • Distribute cache coherence responsibility among cache controllers • Cache recognizes that a line is shared • Updates announced to other caches
  • 15. Loosely Coupled - Clusters • Collection of independent whole uniprocessors or SMPs — Usually called nodes • Interconnected to form a cluster • Working together as unified resource — Illusion of being one machine • Communication via fixed path or network connections
  • 17. Cluster Benefits • Absolute scalability • Incremental scalability • High availability • Superior price/performance
  • 19. Cluster v. SMP • Both provide multiprocessor support to high demand applications. • Both available commercially • SMP: —Easier to manage and control —Closer to single processor systems – Scheduling is main difference – Less physical space – Lower power consumption • Clustering: —Superior incremental & absolute scalability —Less cost —Superior availability – Redundancy
  • 20. Nonuniform Memory Access (NUMA) (Tightly coupled) • Alternative to SMP & Clusters • Nonuniform memory access — All processors have access to all parts of memory – Using load & store — Access time of processor differs depending on region of memory – Different processors access different regions of memory at different speeds • Cache coherent NUMA ? — Cache coherence is maintained among the caches of the various processors — Significantly different from SMP and Clusters
  • 21. Motivation • SMP has practical limit to number of processors —Bus traffic limits to between 16 and 64 processors • In clusters each node has own memory —Apps do not see large global memory —Coherence maintained by software not hardware • NUMA retains SMP flavour while giving large scale multiprocessing • Objective is to maintain transparent system wide memory while permitting multiprocessor nodes, each with own bus or internal interconnection system
  • 23. NUMA Pros & Cons • Possibly effective performance at higher levels of parallelism than one SMP • Not very supportive of software changes • Performance can breakdown if too much access to remote memory —Can be avoided by: – L1 & L2 cache design reducing all memory access + Need good temporal locality of software • Not transparent —Page allocation, process allocation and load balancing changes can be difficult • Availability?
  • 24. Multithreading • Instruction stream divided into smaller streams (threads) • Executed in parallel • There are a wide variety of multithreading designs
  • 25. Definitions of Threads and Processes • Threads in multithreaded processors may or may not be same as software threads • Process: — An instance of program running on computer • Thread: dispatchable unit of work within process — Includes processor context (which includes the program counter and stack pointer) and data area for stack — Thread executes sequentially — Interruptible: processor can turn to another thread • Thread switch — Switching processor between threads within same process — Typically less costly than process switch
  • 26. Implicit and Explicit Multithreading • All commercial processors and most experimental ones use explicit multithreading —Concurrently execute instructions from different explicit threads —Interleave instructions from different threads on shared pipelines or parallel execution on parallel pipelines • Implicit multithreading is concurrent execution of multiple threads extracted from single sequential program —Implicit threads defined statically by compiler or dynamically by hardware
  • 27. Approaches to Explicit Multithreading • Interleaved — Fine-grained — Processor deals with two or more thread contexts at a time — Switching thread at each clock cycle — If thread is blocked it is skipped • Blocked — Coarse-grained — Thread executed until event causes delay — E.g. cache miss — Effective on in-order processor — Avoids pipeline stall • Simultaneous (SMT) — Instructions simultaneously issued from multiple threads to execution units of superscalar processor • Chip multiprocessing — Processor is replicated on a single chip — Each processor handles separate threads
  • 28. Scalar Processor Approaches • Single-threaded scalar —Simple pipeline —No multithreading • Interleaved multithreaded scalar —Easiest multithreading to implement —Switch threads at each clock cycle —Pipeline stages kept close to fully occupied —Hardware needs to switch thread context between cycles • Blocked multithreaded scalar —Thread executed until latency event occurs —Would stop pipeline —Processor switches to another thread
  • 30. Multiple Instruction Issue Processors • Superscalar — No multithreading • Interleaved multithreading superscalar: — Each cycle, as many instructions as possible issued from single thread — Delays due to thread switches eliminated — Number of instructions issued in cycle limited by dependencies • Blocked multithreaded superscalar — Instructions from one thread — Blocked multithreading used
  • 32. Multiple Instruction Issue Processors • Very long instruction word (VLIW) —E.g. IA-64 —Multiple instructions in single word —Typically constructed by compiler —Operations may be executed in parallel in same word —May pad with no-ops • Interleaved multithreading VLIW —Similar efficiencies to interleaved multithreading on superscalar architecture • Blocked multithreaded VLIW —Similar efficiencies to blocked multithreading on superscalar architecture
  • 34. Parallel, Simultaneous Execution of Multiple Threads • Simultaneous multithreading —Issue multiple instructions at a time —One thread may fill all horizontal slots —Instructions from two or more threads may be issued —With enough threads, can issue maximum number of instructions on each cycle • Chip multiprocessor —Multiple processors —Each has two-issue superscalar processor —Each processor is assigned thread – Can issue up to two instructions per cycle per thread
  • 36. Examples • Some Pentium 4 (single processor) —Intel calls it hyperthreading —SMT with support for two threads —Single multithreaded processor, logically two processors • IBM Power5 —High-end PowerPC —Combines chip multiprocessing with SMT —Chip has two separate processors —Each supporting two threads concurrently using SMT