SlideShare ist ein Scribd-Unternehmen logo
1 von 75
Downloaden Sie, um offline zu lesen
1
Ministère de l’Enseignement Supérieur et de la Recherche Scientifique
Université Larbi BenM’hidi - Oum El Bouaghi
Département de physique
Technologie des Composants en Microélectronique
Tiré du module éducatif en microélectronique
Du professeur Olivier Bonnaud
Université de Rennes 1
http://www.microelectronique.univ-rennes1.fr/fr/index_chap1.htm
Adapté au cours de Master 1
MICROÉLECTRONIQUE TECHNOLOGIE 1
Par le Professeur Abdelhakim MAHDJOUB
Domaine Filière Spécialité
Science de la matière Physique
COMPATIBILITÉ ÉLECTROMAGNÉTIQUE
Et COMPOSANTS ELECTRONIQUES
2
I- Purification du silicium
1) Sources du Silicium
Le silicium existe en grande quantité à la surface du globe terrestre. C'est le
deuxième élément le plus fréquent de la croûte terrestre ; O2 (46%), Si (28%), Al (8%).
Sa température de fusion est de 1415°C, qui est don c assez élevée, et son affinité
chimique est forte à haute température.
Le silicium cristallise dans une structure cubique face centrée avec motif à deux
atomes décalés d'un quart suivant la première diagonale, identique à celle du diamant
mais avec une distance interatomique légèrement plus élevée. C'est donc un matériau
avec une bonne cohésion et donc une bonne stabilité thermique.
Les sources naturelles sont essentiellement les silicates (sable, etc.) mais aussi
du SiO2 sous diverses formes (zircon, jade, mica, quartz …) donc avec plus ou moins
de contaminants (qui lui donnent des couleurs différentes).
Ainsi, le silicium existe essentiellement sous forme oxydée et nécessite d'une
part d'être réduit et d'autre part d'être purifié afin d'obtenir un matériau dit de qualité
électronique ou EGS (Electronic Grade Silicon). La silice n'étant pas réduite simplement
par l'hydrogène, il faut donc trouver une technique de réduction, notamment par le
carbone à très haute température.
2) Pureté chimique requise
La qualité électronique ou microélectronique est en fait difficile à obtenir et
nécessite une succession importante d'étapes de purification. Il faut se rappeler que
d'une part toute la théorie effectuée sur les dispositifs à semi-conducteur est basée sur
un cristal parfait ou quasi-parfait. La notion de dopage tient compte du fait que l'on peut
maîtriser la concentration d'atomes dopants au niveau de 1014
cm-3
. Bien que ce chiffre
puisse paraître grand il est en fait très faible par rapport au nombre d'atomes par unité
de volume du réseau cristallin. En effet, dans le cas du silicium, il y a 5.1022
atomes par
cm3
. Cela signifie que la pureté chimique exigée doit être meilleure que 10-9
, soit une
impureté pour un milliard d'atome Si (ou 0,001 ppm).
La démarche va donc consister dans un premier temps à obtenir du silicium de
qualité dite métallurgique MGS (Metallurgic Grade Silicon), puis de purifier le matériau
pour atteindre la pureté requise ou électronique EGS (Electronic Grade Silicon).
3) Réduction de la silice
La première étape consiste à effectuer une électrolyse dans un four à arc pour
atteindre des températures suffisantes permettant de fondre la silice. Le SiO2 est
mélangé à du carbone (charbon). En pratique, l'électrode est en graphite et est
consommée par l'arc électrique. La réaction bilan est la suivante, sachant qu'en réalité
elle résulte d'un grand nombre de réactions chimiques intermédiaires :
SiC(liquide) + SiO2 (liquide) ---> Si (liquide) + SiO (gaz) + CO (gaz)
3
Nous donnons dans la suite quelques réactions intermédiaires mises en jeu dans le four
à arc montrant la complexité de la chimie effective à ces hautes températures :
SiO + SiO2 ---> Si (solide) + SiO (gaz) + CO (gaz)
SiO2 + 2C ---> Si + 2CO
SiO2 + C ---> + SiO + CO
SiO + CO ---> SiO2 + C
Figure I.1 : Electrolyse de la silice permettant d'obtenir du silicium fondu de qualité
métallurgique [1].
Après cette opération, le silicium obtenu a une pureté de 98 %. Il faut donc le
purifier encore de plusieurs ordres de grandeur pour obtenir du matériau pour la
microélectronique
4) Purification du silicium
Il s'agit, en partant du silicium métallurgique de faire une purification chimique.
Une des méthodes utilisées consiste à faire une distillation à partir d'un produit, liquide
à température ambiante, qui contient le silicium. Une possibilité consiste à fabriquer un
halogénure de silicium. De nombreux procédés ont été développés par les différents
producteurs mondiaux de silicium basés sur le tétrachlorosilane (SiCl4), c'est le cas de
Rhône-Poulenc, Westinghouse, Texas, Saint Gobain, le dichlorosilane (SiH2Cl2) mis au
point par Wacker, ou le trichlorosilane (SiHCl3) par Siemens ou Union Carbide. D'autres
techniques sont basées sur le tétrafluorosilane (SiF4) ou le tétraiodure de silicium (SiI4).
L'exemple choisi concerne la fabrication du trichlorosilane par pulvérisation du silicium
réagissant avec le gaz de chlorure d'hydrogène (HCl ou acide chlorhydrique) suivant la
réaction :
Si (solide) + 3HCl (gaz) ——300°C—— > SiHCl3 (gaz) + H2 (gaz)
La réaction avec le chlore permet une première purification puisque par exemple
des précipités chlorés de métaux ne sont pas mélangés au trichlorosilane. Une
distillation permet alors une purification supérieure.
4
Le trichlorosilane purifié est ensuite réduit pour redonner du silicium dans un
réacteur présenté figure I.3. La réaction chimique bilan est la suivante :
SiHCl3 (gaz) + H2 (gaz) ——> Si (solide) + 3HCl (gaz)
Figure I.2 : Distillation du composé de silicium chloré. Après distillation, le réacteur permet
d'obtenir le dépôt de silicium.
Figure I.3 : Schéma d'un réacteur CVD pour la production de silicium de qualité électronique à
partir de SiHCl3 [1].
Le procédé est dans ce cas identique à un dépôt chimique en phase vapeur
(CVD). A partir d'un germe dans de très longs tubes, on dépose progressivement le
silicium. On obtient des lingots en structure polycristalline jusqu'à 20 cm de diamètre.
La pureté obtenue est de l'ordre du ppm, soit des concentrations équivalentes de l'ordre
de 1016
cm-3
.
5
Le tableau suivant donne quelques exemples de concentrations résiduelles
après purifications des principales impuretés ou éléments dopants. Pour la plupart, elles
sont suffisamment faibles pour pouvoir utiliser le matériau en microélectronique à
condition de fabriquer du cristal. C'est à partir de ce silicium polycristallin que l'on doit
fabriquer le monocristal.
Impureté MGS (ppm) EGS (ppm)
Al 1570 -
B 44 <0,001
Fe 2070 4
P 28 <0,002
Sb - 0,001
Au - 0,00007
Comparaison de la concentration relative de quelques impuretés ou dopants dans le silicium
avant et après purification [2].
6
II- Fabrication des plaquettes
La fabrication des plaquettes à partir du silicium purifié nécessite un grand
nombre d'étapes que nous allons détailler. Il faudra tout d'abord réaliser un cristal, sous
forme de lingot qui sera ensuite découpé en rondelles pour constituer les plaquettes.
Nous allons voir qu'un grand nombre de précautions est nécessaire.
1) charge de polysilicium le plus pur possible :
Le silicium purifié est utilisé pour constituer la charge à introduire dans le
réacteur de croissance. Le problème provient de la pureté chimique qui, malgré sa
grande valeur, reste encore faible en égard au dopage.
Ce cristal de silicium comporte 5.1022
atomes par cm3
. Avec une pureté de
0,999999(difficilement accessible) le dopage non intentionnel équivalent peut être de
5.1016
cm-3
. Il faudra ainsi faire mieux dans la suite !
2) préparation de la charge à fondre et à transformer en lingot :
Cette charge peut être dopée si l'on désire des plaquettes dopées (substrats
dopés dans les procédés). On rajoute ainsi, en proportion calculée, la quantité relative
de dopant dans l'ensemble de la charge.
3) tirage et croissance du cristal :
La charge, mise dans un creuset en graphite, est fondue. A partir d'un germe, on
effectue un tirage progressif du lingot moyennant des conditions très précises
(températures du creuset de charge fondue, de l'enceinte, gradient de température,
transfert de chaleur, vitesse de tirage du germe, etc.). On obtient des lingots de
différents diamètres suivant la vitesse de tirage. Cette méthode de tirage est appelée
"Czochralski". Notons que la différence de vitesse de diffusion des espèces entre la
phase liquide et la phase solide entraîne une modification de la concentration relative
des espèces le long du lingot. C'est en particulier vrai pour la concentration de dopant
qui implique que la résistivité ne sera pas constante le long du lingot, toute chose égale
par ailleurs.
L'évolution de la dimension des lingots a été liée à l'évolution de la maîtrise des
procédés et des équipements associés avec comme but l'augmentation du rendement
de fabrication et la diminution des coûts de production par circuit intégré réalisé. Ainsi,
en moins de 30 ans, le diamètre des plaquettes a varié dans un rapport 10.
7
Tableau II.1 : Evolution de la taille des substrats de silicium depuis le début des circuits
intégrés
Figure II.1 : Tirage d'un lingot par la technique Czochralski. Le diamètre du lingot est lié aux
paramètres physiques en cours de tirage.
1964
1969
1974
1978
1982
1985
1990
1998
25 mm
50 mm
75 mm
100 mm
125 mm
150 mm
200 mm
300 mm
8
Une autre technique peut être employée pour réaliser des lingots de grande
dimension. Elle consiste à partir d'un lingot de silicium polycristallin obtenu dans le
réacteur de réduction du trichlorosilane. La cristallisation est obtenue en pilotant la
croissance à partir d'un germe fixé en extrémité de lingot par le déplacement d'une
zone fondue (figure 2). Cette zone est chauffée en limite de fusion du silicium grâce à
l'alimentation d'une bobine parcourue par un courant haute fréquence (courant de
Foucault). Cette méthode est aussi utilisée pour purifier un lingot ; on exploite en effet
les phénomènes de diffusion des impuretés à haute température dans un solide. Dans
ce cas, on peut monter jusqu'à 3 bobines autour du lingot qui sont parcourues par un
courant HF. C'est un moyen pour obtenir des lingots de faible dopage résiduel
notamment nécessaires pour la réalisation de composants de puissance (zones quasi-
intrinsèques permettant la tenue en tension).
Figure II.2 : Cristallisation du lingot et purification par la méthode de fusion de zone.
Une autre technique peut être utilisée pour le tirage des lingots. Dans le cas de
composés semi-conducteurs III-V, on peut réaliser le lingot dans un bac
parallélépipédique dont le fond est arrondi. La cristallisation s'effectue progressivement
depuis une extrémité ; c'est la méthode dite "Bridgman".
9
Figure II.3 : Tirage d'un lingot par la méthode Bridgman. Cette technique est plus spécialement
utilisée pour les lingots de composés III-V tel que le GaAs.
4) équeutage du lingot :
Cette opération consiste à éliminer les
extrémités du lingot soit mal cristallisées
soit riches en impuretés (surtout si la
technique de croissance a été la fusion de
zones ).
5) contrôle de résistivités sur les extrémités du lingot :
En raison de la variation de la concentration d'impuretés lors tirage du lingot, la
résistivité finale varie en fonction de la position. Une vérification des spécifications
(gamme de variation) est nécessaire. La technique employée est du type mesure quatre
pointes.
6) polissage cylindrique :
Lors du tirage, le diamètre du lingot varie légèrement ce qui constitue des
ondulations à sa surface. Pour obtenir des plaquettes de même diamètre un polissage
cylindrique est nécessaire.
7) repérage cristallographique du lingot par méthode de diffraction des rayons X :
Il sera nécessaire de connaître les
orientations cristallographiques afin d'effectuer
en fin de fabrication la découpe suivant les axes
cristallographiques. Après repérage, on crée un
méplat servant de référence (plan 100 par
exemple).
8) polissage d'un méplat de référence cristallographique et géométrique :
Cette référence servira au cours du procédé (orientation des zones de
conduction par rapport aux axes du cristal, repérage des motifs lors de la
photolithographie, axes cristallographiques pour la découpe des puces). Par ailleurs,
suivant le type de dopage de substrat et son orientation cristallographique, on fera des
repères supplémentaires. Notons que sur les plaquettes de 200 mm, seul subsiste un
repérage cristallographique par une petite encoche ; la nature du substrat est indiquée
dans le marquage (effectué par laser).
10
Figure II 4 : Méplats d'identification des plaquettes. On peut facilement repérer les types
n des types p et leurs orientations
9) découpage des plaquettes :
Ce découpage s'effectue à l'aide d'une scie diamantée de 400 µm d'épaisseur
environ pour les lingots de diamètre important. Des techniques de coupes par scie à fil
commencent à être utilisées chez de nombreux fabricants. Dans ce dernier cas, les
pertes de coupes sont plus faibles.
plaquette environ 400 µm à 600 µm d'épaisseur brute de sciage
Compte tenu de l'équeutage et du polissage 50% à 60% du lingot est éliminé. En
pratique, les plaquettes de dimension supérieure à 150 mm, font au moins 500 µm
d'épaisseur en cours de procédé afin d'éviter une fragilité mécanique. Cette épaisseur
est réduite à 250 - 300 µm avant découpage (étape d'amincissement) afin de faciliter
les échanges thermiques (voire électriques) avec le support de puce dans les boîtiers.
10) traitement thermique :
Le sciage crée des contraintes mécaniques dans le cristal. Il faut relaxer le cristal
par une montée en température (recuit) à des températures de l'ordre de 600°C à
700°C. A ces températures, les énergies propres des atomes sont suffisantes pour leur
permettre de se repositionner dans les sites cristallins. Ce traitement thermique
présente aussi l'avantage de diminuer l'effet des atomes oxygène (type donneur) ainsi
que de stabiliser la résistivité.
11) polissage des bords :
Après sciage, des défauts subsistent sur la périphérie des plaquettes. Il faut
l'éliminer. Par ailleurs, pour faciliter la manipulation des plaquettes en cours de procédé,
on arrondit le champ. Cela permet de ne pas dégrader les dispositifs de prises et de
supprimer des amorces de cassures.
12) tri des plaquettes en fonction des épaisseurs :
Les épaisseurs après découpe pourront être sensiblement différentes. Il faut
donc limiter la quantité de matière à éliminer par le polissage (le tri se fait par gamme
de 10 µm par exemple).
13) enrobage des plaquettes dans une mixture d'alumine en solution - polissage :
Afin d'améliorer l'état de surface des plaquettes, ces dernières subissent un polissage à
l'aide d'une mixture contenant des grains d'alumine de dimension micronique.
11
14) nettoyage :
Cette étape consiste à éliminer les composés abrasifs et les contaminants par
des solvants et de l'eau désionisée.
15) attaque chimique des plaquettes :
Lors des différentes étapes, il s'est formé, en surface des plaquettes, un oxyde
natif contenant des impuretés. Ces impuretés sont soit métalliques et sont éliminées par
une solution acide, soit organiques et éliminées par une solution basique :
- solutions acides : HNO3, CH3COOH,
- solutions basiques : KOH. On n'utilise pas de soude (NaOH) car le
sodium est un polluant violent pour les oxydes, plus particulièrement pour
les oxydes de grille des transistors MOS.
L'oxyde natif est finalement attaqué par une solution d'acide fluorhydrique (HF). On
obtient alors une surface chimiquement neutre avant un polissage "miroir".
16) endommagement de la face arrière :
Le partie utile de la plaquette se trouve très près de la surface supérieure. Il va
s'agir de rendre cette zone la plus pure possible aussi bien au niveau des impuretés
(atomes étrangers) que des défauts cristallographiques ou structuraux (macles,
dislocations, etc.). On va alors créer des défauts sur la face arrière par sablage ou
bombardement LASER, ce qui provoque un dépolissage. Lors des traitements
thermiques ultérieurs, les impuretés du côté de la face active vont migrer dans le
substrat et être piégées par des états plus favorables énergiquement créés par les
dommages de la face arrière. On appelle ce phénomène, l'effet "getter".
Figure II.5 : La face arrière est endommagée. Elle perd son aspect miroir. Cette opération permet
d'améliorer la zone active très proche de la face supérieure.
17) tri en fonction des épaisseurs :
Après dépolissage de la face arrière, les épaisseurs ont varié. On effectue donc un
nouveau tri par gamme d'épaisseur.
18) préparation au polissage final de la face active :
Les plaquettes sont montées dans des polisseuses. Elle est constituée de satellites qui
tournent sur eux-mêmes afin d'améliorer l'homogénéité de polissage des plaquettes.
12
Figure II.6 : Plaquettes montées sur une polisseuse.
19) élimination de l'excès d'épaisseur :
25 µm environ sont éliminés.
20) polissage final "miroir"
Ce polissage peut être mécanique ou mécano-chimique qui combine à la fois les effets
mécaniques et chimiques. Il faut éliminer les rayures, les peaux d'orange. Cette
opération est effectuée à l'aide d'une machine identique à celle de la figure 6, mais avec
des disques de polissage peu agressifs et une solution abrasive à très petits grains
(diamètre de 0,1 à 0,5 µm)
21) démontage, nettoyage, tri :
Ces dernières opérations permettent :
- le démontage des plaquettes des supports de polissage,
- le nettoyage final,
- le tri final en fonction des épaisseurs.
22) test de résistivité des plaquettes , tri final en fonction des résistivités :
Afin de sélectionner les lots pour les clients, un tri en fonction de la résistivité est
effectué.
23) repérage - marquage :
Un marquage de lots, lingots, date, etc.. , est réalisable à l'aide d'un faisceau laser.
Cela permet de suivre la plaquette tout au long des étapes de fabrication.
13
24) nettoyage final en salle blanche :
Pour démarrer l'ensemble des étapes du procédé de fabrication des circuits intégrés, il
ne faut pas de graisse, pas de poussières, etc. Un nettoyage final est nécessaire.
25) inspection visuelle :
C'est l'être humain qui reste à l'heure actuelle le meilleur inspecteur. Il détecte des
variations de couleur, des rainures, des rayures, des poussières de dimensions
inférieures au micron. Un système automatique à balayage optique sur toute la
plaquette ne serait pas encore suffisamment performant. Prenons, par exemple, une
surface de 10 cm x 10 cm de côtés à contrôler. Il faudra balayer cette surface par zone
de mesure (ou "point" de mesure), qui sont de 0,05 µm de coté, pour simplifier.
Un point de 0,05 µm x 0,05 µm nécessite au moins 1 µs de temps de traitement
(analyse spectrale par exemple). Dans ce cas, le temps total d'analyse est:
C'est un temps énorme et donc peu réaliste. En fait, dans ce cas l’œil humain est
beaucoup plus efficace car il traite l'information en parallèle grâce à des millions de
cellules rétiniennes !
26) test de planéité :
Ce test est important pour la lithographie. Il existe aujourd'hui des machines à tester la
planéité basée sur une mesure optique (déviation d'un faisceau laser).
Après l'ensemble de ces étapes, les plaquettes sont prêtes pour subir les étapes de
fabrication des circuits intégrés (ou des composants discrets).
14
III- Le dopage
Un dopant, dans le domaine des semi-conducteurs, est une impureté ajoutée en
petites quantités à un matériau pur afin de modifier ses propriétés de conductivité.
Les propriétés des semi-conducteurs sont en grande partie régies par la quantité de
porteurs de charge (électrons ou trous) qu'ils contiennent. Les atomes dopants vont se
substituer à certains atomes initiaux et ainsi introduire davantage d'électrons ou de
trous. Les atomes dopants sont en phase diluée : leur concentration reste négligeable
devant celle des atomes du matériau initial. Les dopants peuvent être introduits lors de
la phase de fabrication des plaquettes ou dans les différentes étapes de fabrication du
composant. Parmi les méthodes de dopage alors utilisées on peut citer essentiellement
le dopage par diffusion ou par implantation ionique.
A) La diffusion
Le phénomène de diffusion est un phénomène très général dans la nature, qui
correspond à la tendance à l'étalement d'espèces, particules, atomes ou molécules
grâce à une excitation énergétique apportée par la chaleur. Suivant le milieu dans
lequel se déplacent ces espèces, l'étalement sera plus ou moins grand.
A température ambiante le phénomène de diffusion sera très important dans un milieu
gazeux, plus faible dans un milieu liquide et pratiquement nul dans un milieu solide.
Pour obtenir un phénomène de diffusion dans un solide ou un cristal, il faudra chauffer
le matériau à des températures voisines de 1000°C.
Dans la suite, nous nous intéresserons essentiellement aux mécanismes de diffusion
dans le milieu solide, sachant qu'il est possible d'utiliser aussi des mécanismes en
milieu gazeux voire liquide au niveau des mises en œuvre technologiques de procédés
particuliers.
Pour mieux comprendre l'aspect macroscopique du phénomène de diffusion, il
faut avoir une idée des phénomènes et mécanismes mis en jeu à l'échelle atomique.
1) Mécanisme de diffusion à l'échelle atomique
Les mécanismes de diffusion mis en jeu dans un cristal vont dépendre de la
nature du cristal et de la nature de l'espèce diffusante. On peut noter que les atomes
constituant le cristal peuvent eux-mêmes diffuser ; on parlera alors de mécanisme
d'autodiffusion. L'autodiffusion est importante puisqu'elle permet notamment de créer
des lacunes dans le réseau cristallin.
Figure 1 : Mécanismes de diffusion à l'échelle atomique [2].
15
Comme évoqué ci-dessus, les mécanismes ne seront possibles qu'à la condition que
les espèces concernées soient suffisamment excitées (niveau d'énergie suffisant) pour
statistiquement franchir les barrières d'énergie que constituent par exemple les
barrières de potentiel atomique.
Ces barrières de potentiel vont dépendre des mécanismes mis en jeu. Par exemple un
petit atome tel que le Bore pourra se "faufiler" entre les mailles du réseau cristallin et
nécessitera donc une énergie plus faible pour se déplacer. Les quatre principaux
mécanismes recensés sont :
- mécanisme lacunaire, correspondant à l'occupation d'une lacune laissée par un atome
du réseau cristallin qui peut, lui aussi se mouvoir en laissant des lacunes,
- mécanisme interstitiel, correspondant au déplacement des atomes entre les sites
cristallins. Ce mécanisme sera prépondérant pour les petits atomes,
- mécanisme interstitiel combiné au mécanisme lacunaire. Ce phénomène correspond à
un placement en site substitutionnel d'un atome initialement positionné en site
interstitiel tout en envoyant en site interstitiel l'atome en site cristallin d'origine. Ce
phénomène correspond au "carreau sur place" du joueur de boules qui chasse la boule
en lui prenant sa place,
- mécanisme de groupe mettant en jeu plusieurs atomes. Ce mécanisme de placement
en force est plutôt typique des gros atomes.
Ces mécanismes peuvent être modélisés indépendamment mais nécessiteraient des
gros moyens de calcul pour simuler l'avancement d'une quantité importante d'atomes
dopants dans le cristal. Il est donc plus facile de traiter le problème de façon
macroscopique et statistique, ce que nous allons faire dans la suite.
2) Equations de diffusion
La première loi de Fick traduit la tendance à l'étalement ; le flux d'atomes est
proportionnel au gradient de concentration de ces atomes et s'exprime par :
équation dans laquelle D est le coefficient de diffusion. D dépend fortement de la
température ; quasi-nul à la température ambiante, ce coefficient est de l'ordre de l'unité
en micron carré par heure pour des températures de l'ordre de 1100°C.
La deuxième loi à prendre en compte est l'équation de continuité. Dans un élément de
volume donné, d'épaisseur dx, si le flux entrant est supérieur au flux sortant, la
concentration de l'espèce considérée augmente. Cette équation est aussi utilisée pour
les porteurs électrons et trous dans un semi-conducteur mais dans le cas des atomes il
n'y a ni génération, ni recombinaison.
16
La combinaison des deux équations précédentes permet d'aboutir à la deuxième loi de
Fick suivante :
Pour intégrer cette équation différentielle qui comporte une dérivation par rapport au
temps et une double dérivation par rapport à l'espace, il faut déterminer 3 conditions
particulières (ou limites). Ces conditions vont dépendre du procédé technologique mis
en œuvre.
3) Procédés de diffusion
Les procédés de diffusion vont dépendre de la nature des sources de dopants. Il existe
trois grands types de sources qui permettent de fournir les éléments dopants que l'on
doit faire pénétrer dans les substrats. Ces sources sont gazeuses, liquides ou solides.
Figure 2 : Diffusion dans un four à partir de sources gazeuses. Les gaz dopants sont entraînés par
un gaz porteur neutre, tel que l'azote.
Les sources gazeuses sont les gaz tels que l'Arsine, AsH3, la phosphine, PH3 ou
le diborane B2H6 (figure 2). Notons qu'il circule en permanence un gaz neutre (azote)
afin d'éviter toute pollution par des éléments venant de l'atmosphère ambiante. Cet
azote doit être très pur afin de ne pas polluer le four.
17
Figure 3 : Diffusion à partir de sources liquides. Les ballons contenant les liquides sont chauffés
pour vaporiser le liquide afin de le faire pénétrer dans le four.
Bien qu'a priori ces gaz soient simples à mettre en œuvre, ils sont par contre très
dangereux pour l'homme. On leur préfère des sources liquides telles que POCl3 ou BBr3
qui sont liquides à température ambiante mais facilement vaporisées pour être
introduites dans des fours de diffusion (figure 3).
On peut aussi utiliser des sources solides que sont les verres contenant les
dopants tels que nitrure de bore ou verre dopé au phosphore. Ces sources se
présentent sous forme de plaquettes et sont en général introduites dans le four en
alternance avec les plaquettes à doper (figure 4). Ces plaquettes sont activées par une
oxydation qui permet de former un oxyde. Dans le cas du Bore, du B2O5 se forme. Ces
oxydes ou verres s'évaporent et se déposent sur les plaquettes. Au cours de ce dépôt,
une fraction pénètre depuis la surface dans le silicium. Après retrait des sources solides
du four, les éléments dopants sont diffusés vers l'intérieur du substrat par une étape
thermique (à haute température). En pratique, avant l'étape de diffusion, on élimine le
verre déposé par gravure chimique, la quantité de dopant introduite dans le substrat en
surface durant le dépôt étant suffisante.
Figure 4 : Procédé de dopage à partir de sources solides. Les plaquettes de verre dopé permettent
de réaliser un dépôt sur les substrats montés sur une nacelle (ou porte substrats).
18
4) Diffusion à partir de sources gazeuses :
Dans le cas des sources gazeuses, la concentration en surface dans le milieu ambiant
est constante ce qui signifie qu'en phase solide, en surface la concentration, Cs, est
aussi constante. La condition limite s'écrira donc :
C(0, t) = Cs (quel que soit le temps en x=0)
La deuxième condition est une condition initiale, qui suppose que la concentration de
l'espèce à diffuser est initialement nulle (ou négligeable) dans le substrat. Cette
condition s'écrit donc :
C(x, 0) = 0 (quel que soit x à t=0)
La dernière condition est plus intuitive. Elle postule qu'à une distance infinie, la
concentration est nulle quel que soit le temps. Cela se conçoit bien si l'on se rappelle
que pour que la diffusion se produise, il faut un gradient non nul de concentration.
C( , t) = 0 (quel que soit le temps)
A partir de ces conditions aux limites, la résolution de l'équation différentielle (2ème loi
de Fick) donne :
Une représentation graphique de ce profil est donnée figure 5 ; les courbes sont
généralement tracées en échelle semi-logarithmique afin de bien apprécier le domaine
de variation de concentration.
Figure 5 : Diffusion de dopant dans un substrat faiblement dopé de type opposé à partir d'une
source de dopant gazeuse. Cs est la concentration en surface.
19
5) Diffusion à partir de sources solides ou de dose en surface :
C'est aussi le cas lorsque le dopant a été introduit en surface par implantation ionique
(voir plus loin). La quantité totale (ou dose) est constante.
C(x, 0) = 0 condition initiale. ( S : dose totale constante)
Rappelons que la quantité totale de dopant par unité de surface (ou dose) est
constante. De plus :
C(x, ) = 0
L'intégration de l'équation différentielle donne :
La forme du profil obtenu est gaussienne comme représentée sur la figure 6. Comme la
dose totale est constante, lorsqu'il y a étalement, la concentration maximale diminue.
C'est ce qui se produit après une étape à haute température.
Ajuster les paramètres de dopage (température, durée et type de dopant) et cliquer sur
"Ok" pour afficher les courbes:
Figure 6 : Evolution du profil de dopage en fonction du temps pour une diffusion à partir d'une
dose en surface. Les profils sont gaussiens. L'intégrale sous la courbe (en échelle linéaire) est
constante.
20
B) L'implantation :
Cette opération consiste à introduire des atomes ionisés projectiles avec
suffisamment d'énergie pour pénétrer dans l'échantillon cible (en général une
plaquette). Cette pénétration ne s'effectue que dans des régions de surface. Cette
opération est essentiellement utilisée pour doper le semiconducteur durant la fabrication
des dispositifs (création de zones de source ou de drain d'un transistor MOS, d'une
base et d'un émetteur dans un transistor bipolaire, etc.). Les atomes dopants sont en
général : B, P, As, In, etc.
Les énergies des atomes ionisés peuvent être dans la gamme 3 keV à 500 keV. En
fonction de la nature du matériau implanté, de la nature de l'ion accéléré et de l'énergie
d'accélération la profondeur moyenne de pénétration peut aller de 100 Å à 1 µm. En
effet, un calcul approximatif montre qu'une centaine d'électron-volts est perdue par
couche atomique (environ 2,5 Å).
1) Intérêt de cette technique :
Elle permet un contrôle précis de la quantité totale d'atomes implantés (dose
d'implantation) et du profil de concentration du dopant. Cette précision permet en
particulier l'ajustement de la valeur du gain en courant d'un transistor bipolaire ou
l'ajustement de la tension de seuil d'un transistor MOS (choix de la nature d'un
transistor - à enrichissement ou à appauvrissement). Notons que ce procédé s'effectue
sous vide et donc en atmosphère sèche.
2) Inconvénients :
Le bombardement d'un monocristal par des atomes crée des dommages dans la
structure cristalline implantée. Il y a donc nécessité de restituer la cristallinité du
matériau ; ceci est réalisé par un recuit thermique. Ce recuit thermique permet aussi
une redistribution des atomes dopants et donc une modification du profil de dopage par
phénomène de diffusion. Notons que ce recuit peut aussi permettre l'activation du
dopant implanté (passage en site substitutionnel).
Cristal avant implantation Cristal après implantation
21
3) L'implanteur :
L'implanteur est en pratique un accélérateur d'ions. Il est composé des parties
suivantes visibles sur la figure 7 :
- génération des ions à partir d'une source solide, liquide ou gazeuse dans un plasma
excité à 25kV,
- sélection des ions par champ magnétique effectuant le tri par le rapport masse sur
charge,
- accélération des ions à l'énergie d'implantation souhaitée,
- mise en forme du faisceau d'ions par des lentilles électrostatiques,
- dispositif de balayage en x et y afin d'implanter de façon uniforme les plaquettes.
Figure 7 : Schéma simplifié d'un implanteur ionique.
• déviation du faisceau pour éliminer les ions neutralisés sur le
parcours et qui ne pourraient être dénombrés,
• chambre d'implantation.
4) Profil de concentration :
Les ions incidents vont perdre leur énergie par chocs successifs avec les atomes du
réseau cristallin. Ceci explique d'une part, la dispersion des trajectoires et d'autre part,
que l'on définisse statistiquement une profondeur moyenne de pénétration. La
statistique qui convient assez bien est gaussienne. Nous définissons ainsi deux
paramètres :
- la profondeur moyenne de pénétration (range) : RP, avec un écart type ∆RP.
- l'écart moyen latéral (perpendiculaire à la direction d'implantation), ∆R┴
22
Avant Implantation Après Implantation
Figure 8 : Mesure de la dose par intégration du courant d'ions sur une surface étalonnée [5].
Notons que si la direction d'incidence est parallèle à un plan réticulaire du réseau, les
atomes peuvent effectuer un parcours de pénétration plus important sans choc. Cet
effet s'appelle la canalisation. Pour éviter ce phénomène qui est en général mal
contrôlé, on crée un angle d'incidence de l'ordre de 7°pour le Silicium.
Figure 9 : Statistiquement la profondeur moyenne de pénétration est Rp. Deux écarts types sont à
considérer, l'un suivant la direction d'incidence, l'autre perpendiculairement [6].
Statistiquement le profil obtenu est gaussien en première approximation.
avec
Ф étant la dose implantée
23
Figure 10 : Illustration de profils latéraux après implantation à travers un masque. Les courbes
d'isoconcentration sont représentées sur la figure de droite [7].
24
Suivant la nature des ions implantés, on propose des profils plus précis. Pour le
phosphore ou l'arsenic, on propose des modèles "double gaussiens" pour lesquels
l'écart type est différent avant et après le maximum de concentration. Dans le cas du
bore, pour les fortes énergies, le profil s'éloigne fortement de la gaussienne. On peut
expliquer simplement cet écart par le fait que l'ion bore, de très petite dimension, peut
être rétrodiffusé dans le cristal. On utilise dans ce cas le profil Pearson. La figure 11
montre les profils obtenus suite à une implantation de bore à différentes énergies.
L'écart au profil gaussien est important avant les maximums.
Figure 11 : Distribution des atomes de Bore implantés dans du Silicium [8].
25
IV - Oxydation du Silicium
L'oxydation est une étape très importante dans la réalisation des circuits intégrés
au silicium, puisque c'est grâce à cette propriété spécifique que le silicium, qui n'est pas
a priori un très bon semi-conducteur, est devenu le matériau le plus utilisé en
microélectronique. Cette opération est nécessaire tout au long des procédés modernes
de fabrication des circuits intégrés. Il est donc primordial de savoir réaliser un oxyde de
bonne qualité.
L'oxyde peut servir :
- de masque d'implantation ou de diffusion de dopants,
- de couche passivante à la surface du silicium,
- de zones d'isolation entre différents composants d'une structure intégrée,
- de couche active dans les transistors MOS (oxyde de grille),
- d'isolation électrique entre des couches adjacentes pour améliorer l'intégration et la
diminution des dimensions ("espaceur" par exemple),
- d'isolation électrique entre les différents niveaux de métallisation ou de couches
conductrices en silicium polycristallin fortement dopé,
- de couches sacrificielles permettant d'améliorer les performances et l'intégration des
circuits. Ces couches sacrificielles peuvent aussi être utilisées pour fabriquer des
microstructures à base de silicium polycristallin et intervenir dans des microsystèmes
intégrés (MEMS : micro-electro-mechanical systems).
1) Principe de l'oxydation
Il existe plusieurs techniques pour obtenir un oxyde :
- l'oxydation thermique en présence d'oxygène, dite oxydation sèche,
- l'oxydation thermique par voie humide en présence d'oxygène et de vapeur d'eau,
- l'oxydation thermique vapeur en présence de vapeur d'eau uniquement,
- l'oxydation anodique, obtenue par voie électrochimique,
- l'oxydation plasma, réalisée à l'aide d'un plasma d'oxygène.
Notons que nous différentierons dans la suite, l'opération technologique d'oxydation de
celle du dépôt d'oxyde qui n'entraîne pas les mêmes contraintes, thermiques
notamment.
L'opération d'oxydation consiste donc à oxyder le Silicium depuis la surface du substrat.
Les réactions principales sont les suivantes :
Si solide + O2 −−−> SiO2 solide
Si solide + 2 H2O −−−> SiO2 solide+ 2H2
Pour obtenir un oxyde de qualité électronique satisfaisante, on préfère
l'oxydation thermique soit avec de l'oxygène, soit en présence de vapeur d'eau. En
général, la croissance de l'oxyde avec de l'oxygène pur donne une croissance plus
lente de l'oxyde qui lui confère de bonnes propriétés électroniques (peu de défauts
électriquement actifs). La croissance avec de l'eau donne une croissance plus rapide
26
mais plus de défauts électriques. Cette méthode sera donc préférée pour réaliser des
oxydes épais (quelques milliers d'Angström) de masquage ou d'isolation.
Par ailleurs, le Silicium s'oxyde à température ambiante en présence de
l'atmosphère (qui contient de l'oxygène); mais dès que la couche d'oxyde atteint 2 ou 3
couches atomiques, le phénomène d'oxydation se bloque. On dit que la couche est
passivante. Pour obtenir une oxydation sur une "grande épaisseur", il faudra activer le
phénomène par une élévation de température.
La couche de Silicium initiale réagit avec l'élément oxydant pour former le SiO2 ;
on va ainsi consommer du Silicium. L'interface Si/SiO2 va donc se retrouver "au-
dessous" de la surface initiale. Un calcul simple montre que la fraction d'épaisseur
située "au-dessous" de la surface initiale représente 46% de l'épaisseur totale de
l'oxyde ; la fraction "au-dessus" représente donc 54% (figure 1).
Figure 1 : Oxydation du Silicium. Une partie du substrat a été consommée lors de l'oxydation
Cette augmentation de volume aura des conséquences importantes sur la planéité de la
surface de la plaquette lorsque l'on réalisera des oxydations localisées. En effet,
l'augmentation de volume sera locale et créera donc un relief comme représenté fig 2.
Figure 2 : Effet d'une oxydation localisée du Silicium. L'augmentation de volume crée un relief à
la surface de la plaquette.
Les opérations d'oxydations s'effectuent en général dans des fours similaires à
ceux de diffusion dans lesquels on fait circuler de l'oxygène, sec ou humide, ou de la
vapeur d'eau (figure 3).
On peut aussi créer la vapeur d'eau dans le four en effectuant une synthèse à
partir d'un flux d'hydrogène et d'un flux d'oxygène. Cette réaction étant très
exothermique, elle est donc dangereuse. Le dispositif contient alors un grand nombre
de sécurités (détection de flamme, contrôle des débits, etc.) afin d'éviter toute
explosion. C'est ce type de réacteur qui est principalement utilisé chez les industriels. Il
faut noter que la pureté chimique des gaz employés doit être très bonne (moins de
10ppm d'impuretés totales).
27
Figure 3 : Oxydation thermique avec de l'oxygène ou de la vapeur d'eau. On peut aussi fabriquer
la vapeur d'eau à partir d'une torche à hydrogène brûlant en présence d'oxygène
2) Modélisation de l'oxydation
Le modèle de base de l'oxydation est représenté sur la figure 4 qui distingue les
3 domaines dans lesquels il faut considérer des mécanismes différents :
- l'atmosphère ambiante contenant l'élément oxydant (soit de l'oxygène soit de la
vapeur d'eau soit une combinaison de ces deux éléments),
- l'oxyde qui est traversé par l'élément oxydant par phénomène de diffusion. Notons que
cette diffusion est négligeable à température ambiante mais fortement activée
thermiquement,
- le Silicium, à la surface duquel se produit la réaction chimique d'oxydation.
Le calcul est basé sur l'analyse des flux de l'espèce oxydante dans les différentes
zones. Si on raisonne en régime stationnaire, les trois flux sont égaux :
F1 = F2 = F3
Figure 4 : Modèle de base pour l'oxydation thermique. On met en oeuvre les 3 flux de l'espèce
oxydante en phase gazeuse, dans l'oxyde et à l'interface Si/SiO2 [9].
28
F1 est le flux de l'élément oxydant en phase gazeuse. On a donc une équation de
diffusion type 1ère loi de Fick. En appelant CG la concentration dans l'atmosphère, loin
de la surface, et CS, la concentration en surface
F1 = hG (CG - CS)
hG est le coefficient de transfert de masse en phase gazeuse. On peut relier les
concentrations CG et CS en fonction des pressions partielles en utilisant la loi des gaz
parfaits :
CG =PG/kT CS =PS/kT
En utilisant la loi de Henry, on peut convertir la pression partielle en surface du
cristal en une concentration équivalente à la surface mais du côté matériau solide.
CO = H.pS | C* = H.pG
H est la constante de Henry et C* est la concentration équivalente que l'on aurait dans
le cristal pour une pression partielle dans le gaz. On peut ainsi écrire le flux F1 :
F1 = h (C* - Co)
h est le coefficient de transfert massique, avec
.
F2 est le flux de l'élément oxydant dans l'oxyde, qui obéit à la loi de Fick :
soit encore
F3 est le flux de réaction chimique à l'interface Si/SiO2. ce flux est proportionnel à la
concentration de l'espèce oxydante au niveau de l'interface.
F3 = ks.Ci
A partir de la relation F1 = F2 = F3, on en déduit les coefficients Ci et Co :
29
A partir de ces équations, on peut maintenant évaluer la variation d'épaisseur
d'oxyde, donc la croissance, à partir du flux F3. En appelant N1 le nombre d'atomes
oxydants par unité de volume,
En supposant qu'au temps t = 0, l'épaisseur (initiale) de l'oxyde est di, la
résolution de l'équation différentielle du premier ordre ci-dessus se fait simplement en
passant le dénominateur du terme de droite au numérateur gauche. On obtient ainsi un
élément en do et un élément constant à intégrer en ddo. La solution se présente donc
sous la forme :
do
2
+ A.do = B (t + τ)
avec
On peut alors exprimer l'épaisseur de l'oxyde, do, en fonction de A, B et τ.
On peut simplifier l'expression de do en regardant des situations limites :
- pour des temps importants, l'épaisseur varie en racine du temps, c'est-à-dire que la
croissance sera de plus en plus lente. Il faudra donc jouer sur la valeur de B en
fonction de la température pour avoir des temps raisonnables d'oxydation (de
quelques dizaines de minutes à quelques heures maximum).
t >> τ do
2
= B.t
- pour des temps faibles, l'épaisseur est proportionnelle au temps. La condition est la
suivante :
(t + τ) << Α2
/4Β do = (B/A).(t + τ)
Les coefficients, A, B et τ sont donnés dans les tableaux qui suivent (d'après B.E. Deal
& A.S. Grove [9]). Ils dépendent du type de l'oxydation, sèche ou humide, et fortement
de la température.
30
Constantes d'oxydation pour une oxydation humide du Silicium
Température
d'oxydation °C
A (µm) B(µm2
/h) B/A (µm/h) τ (heures)
1200 0,050 0,72 14,40 0
1100 0,110 0,510 4,64 0
1000 0,226 0,287 1,27 0
920 0,500 0,203 0,406 0
Constantes d'oxydation pour une oxydation sèche du Silicium
Température
d'oxydation °C
A (µm) B(µm2
/h) B/A (µm/h) τ (heures)
1200 0,040 0,045 1,12 0,0019
1100 0,090 0,027 0,30 0,00693
1000 0,165 0,0117 0,071 0,029
920 0,235 0,0049 0,0208 0,098
800 0,370 0,0011 0,0030 0,687
700 ... ... 0,00026 7,81
Les coefficients intervenant dans ces tableaux varient très fortement en fonction
de la température. Comme dans le cas de la diffusion d'atomes dopants dans le cristal,
la diffusion des éléments oxydants dans l'oxyde sera thermiquement activée suivant
une loi d'Arrhénius.
Figure 5 : Effet de la température sur le coefficient d'oxydation parabolique dans les cas
d'oxydation sèche, humide et vapeur. La variation suit la loi d'Arrhénius [9].
31
Comme indiqué dans le modèle, pour des temps d'oxydation relativement
faibles, la variation d'épaisseur en fonction du temps d'oxydation est pratiquement
linéaire. Par ailleurs, pour des oxydations de faibles épaisseurs, on doit tenir compte de
la présence de l'oxyde natif ce qui correspond à une ordonnée à l'origine non nulle sur
la figure 6.
Figure 6 : Epaisseur d'oxyde obtenu par oxydation sèche à 780, 890 et 980 °C. La variation est
pratiquement linéaire pour les faibles temps d'oxydation.
La réaction d'oxydation à l'interface tient compte du nombre d'atomes
disponibles pour la réaction chimique. Lorsque les plans sont plus denses, la vitesse
d'oxydation est légèrement supérieure. C'est le cas de l'oxydation d'un substrat orienté
(111) comparé à celui orienté (100).
Figure 7 : Effet de l'orientation cristalline sur la croissance d'oxyde. Le substrat orienté <111> a
une vitesse d'oxydation supérieure [10].
32
V – Les dépôts
1) Introduction :
Cette opération est nécessaire lorsqu'il faut réaliser une couche conductrice,
isolante ou de masquage dans un procédé qui n'utilise pas directement le matériau du
substrat. Par exemple, dans le cas où l'on souhaite obtenir un oxyde de silicium lorsque
le substrat et/ou la couche sous-jacente ne sont pas constitués de Silicium (celle-ci peut
être par exemple une couche métallique ou une couche de nitrure de Silicium), la seule
solution consiste à déposer de l'oxyde (ou un autre type d'isolant). En effet, l'oxydation
thermique n'est plus possible et il faut effectuer un dépôt. Celui-ci s'effectue dans un
four ou un bâti qui comprend tous les constituants de la couche à réaliser.
Les techniques de dépôt qui seront utilisées pour déposer une couche d'isolant ou
d'oxyde seront le plus souvent très générales en permettant la réalisation de couches
d'autres matériaux tels que des métaux voire des semi-conducteurs.
Plusieurs techniques de dépôt sont possibles industriellement:
2) Evaporation thermique :
La technique d'évaporation thermique est très simple et consiste simplement à
chauffer par effet Joule un matériau qui, vaporisé, va se déposer sur les substrats. La
charge du matériau à déposer est placée dans un creuset (en tungstène). Cette
technique est applicable notamment pour le dépôt d'aluminium, la température
d'évaporation de ce métal étant inférieure à la température de fusion du creuset (en
tungstène). La figure 1 montre le principe de cette technique ; Afin d'améliorer
l'homogénéité des couches déposées (très faible variations d'épaisseur), on déplace en
permanence les substrats. Dans la cas du bâti ci-dessous, le porte substrat est
tournant.
Figure 1 : Bâti de dépôt par évaporation thermique. Le creuset contenant la charge du matériau à
déposer est chauffé par effet Joule.
33
Afin de contrôler l'épaisseur des couches déposées, on utilise une balance à
quartz. Le principe de celle-ci consiste à détecter la dérive de la fréquence d'oscillation
du quartz par la modification de sa masse lors de la croissance de la couche déposée
(le dépôt s'effectue aussi sur le quartz). C'est donc une mesure électrique qu'il faut bien
évidemment étalonner. A chaque début d'expérience, la fréquence de référence est
redéfinie. En mesurant le décalage de fréquence en fonction du temps, on peut aussi
déterminer la vitesse de croissance des couches déposées.
3) Dépôts par pulvérisation cathodique:
La pulvérisation cathodique consiste à bombarder une cible par des ions, à
arracher les ions de la cible et à les envoyer se déposer sur la substrat. Dans le cas de
la figure 2, montrant de façon simplifié le principe de cette technique, les ions argon,
créés par l'excitation haute tension, arrachent de la cible les composés à déposer sur la
surface des substrats.
Figure 2 : Bâti de dépôt par pulvérisation cathodique. Les ions Argon, créés par l'excitation haute
tension, arrachent de la cible les composés à déposer sur la surface des substrats.
4) canon à électrons
La technique du canon à électron consiste à apporter suffisamment d'énergie
(très concentrée) sur un matériau souvent réfractaire à l'aide d'un faisceau d'électrons
focalisé. Les électrons sont créés par effet thermoélectrique (chauffage d'un filament) et
leur trajectoire focalisée grâce à l'action conjuguée d'une différence de potentiel
électrique et d'un champ magnétique. Ce dernier incurve la trajectoire du faisceau pour
focaliser celui-ci sur la cible. La figure 3 montre de façon simplifiée le principe de cette
technique.
Notons, qu'afin d'améliorer l'homogénéité des couches déposées, il est souhaitable de
déplacer en permanence les substrats. Sur la figure 3, on peut remarquer que le porte
substrat est tournant. Les techniques de pulvérisation cathodique et canon à électrons,
de par leur principe, permettent d'effectuer des dépôts de couches isolantes mais aussi
de couches métalliques (aluminium, tungstène, titane, chrome, etc.). Elles
interviendront donc principalement pour la réalisation de couches d'interconnexion dans
les dispositifs intégrés.
34
Figure 3 : Bâti de dépôt par canon à électrons. Le filament chauffé sert de source d'électrons. Les
électrons sont déviés par le champ magnétique et envoyés sur la charge à vaporiser.
5) Dépôts chimiques en phase vapeur (CVD) :
Les techniques CVD (Chemical Vapor deposition) permettent quant à elles de
faire croître des couches d'isolants ou de Silicium polycristallin. Elles s'effectuent en
général dans un four dans lequel on introduit les espèces réactantes. Suivant les
valeurs de la pression de dépôt, on modifie la qualité des couches (propriétés
structurales et électriques). Les techniques à basse pression (Low Pressure)
(L.P.C.V.D.) permettent de déposer du silicium polycristallin, dont l'utilisation dans les
procédés technologiques a permis une nette amélioration de l'intégration
(autoalignement des grilles de transistor MOS ou des émetteurs des transistors
bipolaires, interconnexions, sources de dopant, etc..). Dans le cas de couches
isolantes, pour améliorer l'efficacité de la réaction chimique dans le four, on peut activer
les molécules mises en jeu par une source radiofréquence dont la fréquence est
industriellement fixée à 13,56 MHz, valeur autorisée par l'Administration des
Télécommunications. On intitule cette technique P.E.C.V.D (Plasma enhanced CVD).
6) Dépôts chimiques en phase vapeur à basse pression (LPCVD) :
Comme évoquée ci-dessus, la technique LPCVD consiste à réaliser un dépôt
chimique en phase vapeur à basse pression. Ce dépôt s'effectue normalement dans un
four à mur chaud à des températures de l'ordre de 500 à 600°C. On injecte les gaz qui
réagissent et qui synthétisent le matériau à déposer. Dans l'exemple de la figure 4, le
dépôt réalisé est du silicium polycristallin (ou polysilicium) dopé au phosphore. Lorsque
le matériau est dopé au cours de son élaboration, on dit qu'il est dopé in-situ. Pour ce
type de dépôts les paramètres les plus importants sont la pression, la température et le
type de gaz dopant utilisé. De ces paramètres, dépend la morphologie du matériau qui
conditionne aussi les propriétés électriques. Les résultats récents sur ces techniques
ont montré qu'il était préférable de déposer le matériau silicium sous forme amorphe
(température de dépôt autour de 550°C) et de crista lliser ensuite la couche ainsi formée
par un recuit thermique, soit conventionnel, soit rapide, notamment à l'aide d'un laser.
35
Figure 4 : Réacteur LPCVD pour déposer du polysilicium dopé au phosphore [14] et [15].
7) Dépôt chimique en phase vapeur assistés plasma (PECVD) :
Le dépôt PECVD est donc fondé sur la
création d'espèces ou d'éléments à déposer à
basse température grâce à l'apport d'énergie
sous forme électromagnétique (source
radiofréquence en général). Cette technique
évite donc des passages à haute température
qui peut entraîner une redistribution des
dopants par exemple. Toutefois, afin
d'améliorer la qualité du matériau des couches
déposées, il est nécessaire de chauffer
"légèrement" les substrats (quelques centaines
de degrés éventuellement). Industriellement,
deux types de four sont proposés, leurs
schémas de principe étant représentés sur les
figures 5 et 6:
- four à platine porte-substrat horizontale,
- four à "mur chaud".
Figure 6 : Schéma d'un réacteur plasma à mur chaud. C'est en réalité un four comportant 3 zones
de chauffe dans lequel on réalise un plasma à l'aide de la source radiofréquence [2].
Figure 5 : Réacteur plasma à platine porte-
Substrats horizontale [2].
36
Le deuxième type de réacteur est préféré industriellement car il évite d'éventuels
"redépôts", sur les plaquettes, de particules initialement déposées sur les parois comme
dans le cas du premier réacteur. De plus en prenant une structure interdigitée pour les
électrodes, on peut traiter simultanément une cinquantaine de substrats (figure 7).
A l'aide de ces réacteurs, de façon classique, les couches isolantes réalisées sont:
- de l'oxyde de silicium, SiO2,
- du nitrure de silicium, Si3N4.
Le SiO2 ainsi obtenu n'est pas électriquement acceptable pour réaliser des grilles de
transistors MOS, mais par contre peut servir de couches de masquage avant
implantation ionique ou de couches isolantes entre différents niveaux conducteurs
(aluminium, polysilicium, siliciure de tungstène, etc..).
Figure 7 : vue de dessus schématique de la structure interdigitée des électrodes dans le réacteur
plasma à mur chaud substrats verticaux. Par cette méthode un grand nombre de substrats peuvent
être traités simultanément.
Le Si3N4 sert essentiellement de couche de masquage d'oxydation. En effet, le
nitrure constitue une barrière de diffusion pour les éléments oxydants tels que
l'oxygène. L'utilisation d'une telle couche permet d'effectuer, après photolithogravure,
des oxydations sélectives sur le substrat LOCOS (Local oxidation of silicon). Nous
verrons que cela permet en particulier de réaliser des "oxydes de champ" qui
constituent des barrières isolantes entre les différents transistors du circuit intégré.
Figure 8 : Réalisation d'un oxyde localisé (LOCOS). La couche de Si3N4 sert de barrière de
diffusion à l'élément oxydant.
37
VI- Epitaxie
L'épitaxie est une étape technologique consistant à faire croître du cristal sur du
cristal. Etymologiquement, "épi" signifie "sur" et "taxis", "arrangement". La technique va
donc consister à utiliser le substrat comme modèle cristallin de croissance (germe) et à
faire croître la couche par un apport d'éléments constituant la nouvelle couche. La
couche épitaxiée peut être dopée ou non dopée.
On parlera, dans le cas où :
- les matériaux sont identiques, d'homoépitaxie ; par exemple, épitaxie d'une
couche n- sur une couche n+, impliquée dans la jonction collecteur-base d'un
transistor bipolaire permettant une meilleure tenue en tension de cette jonction
polarisée en inverse.
- les matériaux sont différents, d'hétéroépitaxie ; par exemple croissance d'une
couche de GaxAl1-xAs sur une couche de GaAs ; cet assemblage permet la
fabrication de super-réseaux ou de couches à forte mobilité (transistors rapides).
Dans le cas de l'hétéroépitaxie, le désaccord de réseau (mismatch en anglais),
c'est-à-dire la différence entre les paramètres de maille du substrat et ceux du cristal
déposé, peut entraîner des défauts dans la structure de ce dernier. Dans un premier
temps, les atomes déposés s'adaptent à la structure cristalline du substrat. Mais
lorsque l'épaisseur du cristal augmente, les propriétés chimiques de ses éléments
l'emportent sur le substrat : les atomes choisissent la structure du matériau pur, ce
qui déforme le cristal et entraîne la création de dislocations.
Il existe plusieurs techniques d'épitaxie :
• Épitaxie en phase solide
Épitaxie en phase solide (SPE) est une transition entre les phases amorphe et
cristalline d'un matériau. Généralement on procède d'abord à un dépôt d'une couche de
matériau amorphe sur un substrat cristallin. Le substrat est ensuite chauffé pour
cristalliser le film. Le substrat monocristallin sert de germe pour la croissance des
cristaux. L'étape de recuit utilisé aussi pour recristalliser (ou guérir) des couches de
silicium amorphe lors de l'implantation ionique, est également considéré comme un type
d’épitaxie en phase solide. La ségrégation des impuretés et leur redistribution à
l'interface cristal/amorphe est de plus en plus utilisée au cours de ce processus pour
incorporer les dopants à faible solubilité dans le Silicium.
• Epitaxie en phase liquide
L'épitaxie en phase liquide utilise le principe de la méthode de Czochralski. Le
substrat est mis en contact avec une phase liquide sursaturée en l'élément voulu, qui se
précipite et cristallise sur le substrat. Cette technique a l'avantage d'être rapide, mais
elle est moins précise que les épitaxies en phase vapeur. Épitaxie en phase liquide
(LPE) est une méthode permettant la croissance de couches minces monocristallines
sur des substrats solides monocristallins. Cela se produit à des températures juste en
dessous du point de fusion du semi-conducteur. Dans ces conditions, la déposition du
cristal semi-conducteur sur le substrat est lente et uniforme. La vitesse de dépôt typique
38
des films monocristallins est de 0,1 à 1 µm/minute. Le dopage peut être obtenue par
l'ajout d’impuretés adéquates. La méthode est principalement utilisée pour la
croissance des semi-conducteurs composés. Des couches très minces uniformes de
qualité élevée peuvent être produites. Un exemple typique de la méthode d'épitaxie en
phase liquide est la croissance de composés III-V ternaires et quartenaires sur
substrats d’arséniure de gallium (GaAs). Un autre substrat fréquemment utilisé est le
phosphure d'indium (InP). Pour éviter les contraintes dans la couche déposée, les
coefficients de dilatation thermique du substrat et la couche épitaxiée doivent être
similaires.
• Épitaxie par jet moléculaire
La croissance s'effectue sous ultra vide (typiquement 10-8
Pa). Les éléments à
déposer, contenus dans des creusets à haute température, sont évaporés et vont se
déposer par transport thermique sur la surface du substrat, plus froide mais de
température quand même assez élevée pour permettre le déplacement et le
réarrangement des atomes. Cette technique est largement utilisée pour la croissance
de cristaux semi-conducteurs III-V. Le processus d'épitaxie en phase vapeur est très lent
et très coûteux.
39
VII- La gravure
1) Présentation
Deux techniques sont couramment utilisées:
- la gravure par voie humide,
- la gravure sèche.
Ces deux types de gravure interviennent de nombreuses fois au cours des
procédés modernes. Elles permettent de graver de façon sélective, des couches ou des
films afin de créer des motifs (zone actives de dispositifs, grille de transistors, pistes
d'interconnexion, etc.). Nous verrons dans les opérations de photolithogravure, qu'en
utilisant une résine photosensible on peut protéger des zones. La gravure ne doit donc
concerner que les zones non protégées.
2) La gravure humide :
La gravure par voie humide se fait par attaque chimique en solution aqueuse
(bain contenant de l'eau). Par exemple, l'oxyde de silicium est gravé par une solution
partiellement diluée d'acide fluorhydrique (HF) tamponnée par du fluorure d'ammonium
(NH4F). Suivant les concentrations de l'espèce réactante, on étalonne les vitesses de
gravure pour un type de couche. En général, par voie humide, la couche est attaquée
de façon équivalente suivant toutes les directions de l'espace. On dit que la gravure est
isotropique.
Dans de très nombreuses filières technologiques, la gravure humide est utilisée
majoritairement car elle est relativement simple à mettre en oeuvre et que dans des
grands bacs, un lot complet pouvant contenir jusqu'à 200 plaquettes peut être traité en
une seule opération.
Figure 1 : Gravure de plaquettes par voie humide.
40
Cela constitue un gain de temps considérable. Par contre, il faut après traitement
chimique rincer abondamment et sécher les plaquettes. Durant le rinçage à l'eau
désionisée, la résistivité de l'eau est contrôlée afin de déterminer la quantité d'ions
contaminants encore désorbés par les plaquettes. Lorsque la résistivité devient
supérieure à 16 M cm, on s'approche de la résistivité de l'eau ultra pure (18 M cm) et
on peut arrêter le rinçage. Le séchage s'effectue dans de très grandes centrifugeuses
ou par soufflette d'azote ou d'air sec.
La gravure humide présente aussi d'autres inconvénients, à savoir :
- la gravure est isotropique (toutes les directions de l'espace) ce qui crée des
attaques latérales notamment dans les zones protégées par la résine,
- la vitesse de gravure dépend de la concentration et du type d'impureté que
contient le film à graver. Cette vitesse de gravure dépend de la quantité des
substrats traités, l'efficacité d'attaque diminuant après plusieurs lots,
- le point de fin de gravure est difficilement contrôlé. Cela peut entraîner une
surgravure latérale ou verticale dans le cas d'une faible sélectivité.
Les solutions les plus couramment utilisées en fonction de la nature des couches à
graver sont les suivantes :
- silicium polycristallin: HNO3 + HF
- silicium monocristallin: Hydrazine N2H4 (65%) + H2O (35%)
- dioxyde de silicium : HF + NH4F + H2O
- nitrure de silicium : H3PO4
- aluminium : H3PO4 + HNO3 + acide acétique + H20
En fonction des concentrations relatives des différents éléments les solutions
auront une vitesse d'attaque plus ou moins importante. Dans le cas de la gravure d'un
oxyde recouvrant du silicium monocristallin, la fin de gravure est détectée grâce au
phénomène d'hydrophobie ; le liquide a tendance à quitter la surface de la plaquette
alors qu'en présence d'oxyde toute la surface est mouillée. Ce critère permet aussi de
vérifier l'homogénéité d'épaisseur des couches et/ou d'attaque.
III) La gravure sèche :
La gravure sèche est en réalité une technique de gravure plasma dans laquelle
interviennent à la fois les effets de bombardement par des ions et la réaction chimique.
On la dénomme R.I.E. (Reactive Ion Etching).
Le réacteur ressemble au réacteur de dépôt à platine porte-substrats horizontale,
mais les gaz injectés sont dans ce cas destinés à graver la couche de surface (fig 2).
De la même façon, on utilise un générateur radiofréquence qui va permettre de générer
dans le réacteur les espèces réactives.
41
Sans polarisation particulière des électrodes, l'attaque est en général
isotropique, c'est-à-dire identique suivant toute les directions. Cependant, lorsque les
matériaux à graver ont des orientations préférentielles, c'est le cas des cristaux
semiconducteurs, la gravure peut se faire préférentiellement suivant des plans
réticulaires ou axes cristallographiques.
Le réacteur est en général équipé d'un système de contrôle de gravure ou plus
exactement de fin de gravure. Il s'agit d'un interféromètre à laser dont la période du
signal détecté change lors d'un changement d'espèces gravées.
Figure 2 : Réacteur de gravure plasma à platine porte-substrats horizontale [5].
Figure 3 : Schéma de principe de la réaction de gravure plasma. Pour que la gravure soit efficace,
il faut éliminer les produits de réaction.
Le principe du procédé, représenté figure 3, est résumé comme suit :
- génération dans le plasma des espèces pouvant attaquer chimiquement la couche,
- transfert des espèces réactives depuis le plasma vers la surface de la couche à
graver,
- adsorption de l'espèce attaquante à la surface,
42
- réaction avec le matériau de surface. Le matériau produit par la réaction doit être
volatile pour pouvoir quitter la surface,
- désorption du produit de réaction,
- diffusion dans l'environnement gazeux.
Si toutes ces conditions sont remplies, on peut alors espérer avoir une bonne
gravure plasma. Notons que pour la mise au point de cette étape technologique, la
difficulté est de générer des espèces volatiles après réaction en surface.
Figure 4 : Vitesse de gravure et sélectivité pour une gravure plasma utilisant du tétrafluorure de
carbone dilué dans de l'hydrogène [12].
Suivant les espèces introduites dans le réacteur et suivant la nature de la couche
à graver la vitesse d'attaque sera différente. Il faudra jouer sur la différence des
vitesses pour s'assurer d'un bon contrôle de la gravure.
La précision notamment sur la fin de gravure sera d'autant meilleure que la
sélectivité sera importante. En effet, il faut pouvoir graver, par exemple, un oxyde sans
pour autant éliminer la couche sous-jacente qui peut être, par exemple, la source d'un
transistor. On peut aussi éliminer la couche de silicium polycristallin sur un oxyde. En
réglant la proportion des gaz réactifs, on ajuste la sélectivité de la gravure du SiO2 par
rapport au polysilicium.
Un réglage astucieux entre l'énergie des ions incidents issus du plasma et le flux
des ions chimiquement actifs permet d'obtenir des gravures soit isotropiques soit
anisotropiques (figure 5).
En effet, en accélérant les ions par une polarisation continue entre les deux
électrodes, on peut accélérer les ions réactifs en leur donnant une orientation
préférentielle en direction de la surface. La gravure est alors anisotropique. La figure5
montre l'anisotropie obtenue en ajoutant un bombardement d'ions qui augmente la
vitesse de gravure verticale. On joue ainsi sur la compétition entre le bombardement
ionique et l'attaque chimique.
43
Figure 5 : Vitesse de gravure verticale et horizontale.
Ce type de gravure est particulièrement intéressant dans le cas où l'on veut
réaliser des espaceurs de très faible dimension dans les technologies autoalignées. Ce
qui peut représenter, a priori, un inconvénient, est exploité astucieusement, pour aller
au-delà des limites optiques en précision des motifs (figure 6). En effet, du fait que l'on
contrôle parfaitement bien la croissance et donc l'épaisseur des couches, on peut créer
des résidus ou espaceurs de largeur très faible, en rapport avec les épaisseurs. On
peut ainsi créer des nouveaux "masques d'implantation" dont l'ouverture est par
exemple de 0,2 micron plus large ou plus étroit que le préexistant. Cela sera
notamment utilisé pour fabriquer des transistors submicroniques à drain doublement
dopé (deux zones de dopage différents).
Figure 6 : réalisation d'espaceurs par utilisation d'une gravure anisotropique [5]
Les principaux gaz utilisés dans les gravures sèches sont indiqués dans le
tableau suivant :
Matériau à graver Silicium SiO2 Siliciure
SF6 CHF3 CFCl3
CF4 + O2 CF4 + O2 CF2Cl2
HF CF4 + H2 CCl4
gaz
CFCl3 SiCl4 SF6
44
En pratique, la différence entre gravure isotropique et anisotropique peut être
représentée sur la figure 7. Il faudra tenir compte de la gravure latérale plus importante
dans le cas de la gravure humide. Il est clair que pour les motifs de très petite
dimension, il sera préféré une gravure anisotropique.
Figure 7 : Différence entre gravure isotropique et anisotropique. La largeur du motif gravé sera
différente.
4) Comparaison des propriétés des gravures humide et plasma :
Dans le tableau suivant les deux types d'étapes sont comparées ; il met en évidence les
points forts et les points faibles relatifs.
Gravure humide Gravure sèche
Sélectivité Élevée Faible
Coût de la gravure Faible Élevé
Durée Importante Faible
Technologie submicronique Difficile Aisée
Anisotropie Non Oui
Défauts spécifiques Effet galva Dommages par rayonnement
Coût environnement Élevé Faible
Consommation de produits Élevée Faible
Contrôle Difficile Assez bon
45
VIII- Photolithogravure
1) Définition
Toutes les techniques de procédés technologiques exposées précédemment
présentent peu d'intérêt si elles ne se réalisent que pleine plaque. Il faut pouvoir oxyder,
doper, métalliser localement suivant des motifs très bien définis et sur des surfaces de
plus en plus faibles afin de créer et d'interconnecter des dispositifs élémentaires entre
eux.
Le procédé de transfert d'un masque (physique ou logiciel) vers la plaquette
s'appelle photolithogravure. Ce mot est construit à partir de lithos (pierre en grec) et, de
photographie. Il s'agit d'un procédé photographique qui permet la gravure d'une (ou
plusieurs) couche(s) solide(s) telle que nitrure, oxyde, métal, etc..., suivant un motif bien
défini. Nous verrons que la lumière utilisée est en général hors du domaine visible, à
savoir en ultraviolet voire en X.
II) Principe de la photolithographie
Les figures 1 et 2 rappellent le principe du procédé de photolithographie ; l'objectif est
de transférer un motif (par exemple un rectangle qui correspondra à une zone de
source) réalisé sur masque vers une couche de la plaquette.
Figure 1: Masque de photolithographie. L'utilisation du quartz est liée à la nature des photons qui
sont en général dans le domaine ultraviolet. Le dioxyde de chrome est opaque à ce rayonnement
et permet une bonne définition des motifs élémentaires.
46
Figure 2 : principe de la photolithographie : par insolation, on transfert vers la plaquette le motif
défini sur le masque.
3) Réalisation d'un motif sur plaquette
Dans l'exemple qui suit, nous allons voir comment procéder pour réaliser une
zone dopée de type p par implantation ionique dans un substrat de type n. Les
différentes étapes élémentaires sont détaillées.
De façon analogue à la technique photographique, on peut utiliser deux types de résine
photosensible :
- résine positive,
- résine négative.
Dans le cas d'une résine positive, on retrouve après l'opération, exactement le
même motif sur la couche gravée de la plaquette, que sur le masque de départ. Par
exemple, à un motif de métal sur le masque (correspondant à un motif opaque),
correspond le même motif d'oxyde restant sur la plaquette après gravure. Dans le cas
d'une résine négative, on obtient sur la plaquette le motif complémentaire.
La résine est étalée par une technique de centrifugation. On utilise pour cela une
tournette (figure 3) qui aspire la plaquette afin qu'elle ne soit pas éjectée et qui permet,
grâce à un réglage de la vitesse de rotation et de l'accélération, d'étaler uniformément
la résine.
Figure 3 : Tournette pour étalement de résine photosensible. La vitesse de rotation est calculée
pour obtenir une couche fine sur toute la surface et d'épaisseur constante.
47
La figure 4 montre les épaisseurs obtenues pour deux types de résine différents
en fonction de la vitesse de rotation (exprimée en tours par minute). Plus la vitesse de
rotation est importante, plus l'épaisseur du film est faible. Il faut cependant tenir compte
de l'accélération pour avoir une bonne homogénéité, les solvants de la résine
s'évaporant au fur et à mesure de l'étalement, le coefficient de viscosité variant donc
simultanément. Cela sous-entend un étalonnage précis qui est en fait une opération
longue et délicate. On utilise en général les indications fournies par le fournisseur de
résine mais l'environnement, pression partielle des gaz volatiles par exemple, joue un
rôle important.
Figure 4 : épaisseur obtenue de résine photosensible en fonction de la vitesse de rotation pour
deux résines différentes
La figure 5 détaille les différentes opérations de photolithogravure. Dans le cas
présenté, l'oxyde est le négatif du motif de dioxyde de chrome du masque. La résine est
dite dans ce cas négative.
Figure 5 : principe de la photolithogravure. Le motif du masque a été transféré vers la plaquette.
La résine est négative dans ce cas
Après une oxydation et différents nettoyages, on étale la résine photosensible
sur la plaquette à l'aide de la tournette. La résine est ensuite séchée (on élimine les
produits les plus volatiles) puis insolée à travers le masque. Les motifs sont alors
révélés par un révélateur. Après rinçage, une cuisson durcit la résine qui peut alors
résister aux attaquants chimiques tels que l'acide fluorhydrique. La gravure humide par
48
le HF attaque la couche d'oxyde non protégée par la résine. La résine est ensuite
retirée de la surface par un solvant chimique puissant tel que l'acétone. Dans certains
cas, il faudra utiliser des techniques encore plus efficaces telles que la gravure par
plasma d'oxygène; cette méthode est régulièrement utilisée dans les technologies
submicroniques pour lesquelles la résine est aussi utilisée comme couche de
masquage d'implantation ionique.
4) L'insolation et ses limites :
L'insolation de la plaquette peut se réaliser de différentes manières,
- par contact,
- par proximité,
- par projection,
contact proximité projection
Figure 6 : différentes techniques d'insolation : par contact, par proximité et projection. Par
projection, il est possible de jouer sur le grandissement optique pour réaliser des motifs sur le
masque de dimension 5 fois supérieure à celle des motifs projetés sur la plaquette [5].
Figure 7 : intensité de la lumière au niveau de la résine photosensible après insolation par
contact, par proximité ou par projection [13].
49
Chacune d'entre elles présente ses avantages et ses inconvénients. Par
exemple, la technique par contact donne théoriquement la meilleure définition des
motifs, mais détériore le masque après chaque opération de masquage en raison des
frottements importants à l'échelle microscopique. La projection donne la moins bonne
définition optique, comme le montre la figure 7, en raison des effets de diffraction de la
lumière, mais permet par contre d'effectuer une réduction ; dans ce dernier cas, la
fabrication du masque est plus simple puisqu'elle n'exige pas une définition au moins
égale à celle du motif reporté sur la plaquette.
Apparemment, la projection constitue le cas le plus défavorable. Mais en exploitant le
fait que la réponse de la résine à la lumière n'est pas linéaire (figure 8), on peut
améliorer la définition des motifs en jouant sur la quantité de photons reçus (intensité
lumineuse, temps d'insolation). En ajustant la dose d'insolation pour être au niveau 0,5
Io, on récupère la même dimension de motif.
Figure 8 : Effet de la non linéarité de polymérisation. En choisissant le seuil astucieusement, on
peut améliorer la définition des motifs.
La figure 9 donne un exemple de machine à insoler par contact. Le principe pour
les insolateurs par proximité est le même.
Figure 9 : machine à insoler par contact. Une fois le masque et la plaquette alignés, on déplace la
source lumineuse sur l'ensemble. L'insolation dure en général quelques dixièmes de secondes à
quelques secondes.
50
Dans le cas des insolateurs par projection avec photorépétition, la projection se
fait par secteur, c'est-à-dire, puce par puce ou bloc par bloc de plusieurs puces. Dans
ce cas, il faut pouvoir parfaitement positionner la plaquette. Un système d'analyse
d'image et de positionnement du porte substrat, par interférométrie laser, permet
d'effectuer le bon alignement des motifs. Une plaquette de 200 mm de diamètre
nécessite plusieurs minutes d'insolation (donc par niveau d'insolation) par la technique
de photorépétition.
Les procédés photolithographiques classiques utilisant des rayons lumineux
dans les limites du domaine visible (proche Ultra Violet) ne permettent pas d'atteindre
une définition suffisante pour les nouvelles technologies en développement, ceci étant
essentiellement dû au phénomène physique de diffraction. Aussi l'évolution des
dimensions entraîne la mise au point de nouveaux procédés tels que la lithographie par
rayons X, la lithographie par faisceaux d'électrons.
La lithographie par faisceau d'électrons est un procédé très intéressant mais
dont il faut connaître les limites. La longueur d'onde associée aux électrons peut être
suffisamment faible puisqu'elle est simplement liée à l'énergie d'accélération. La tâche
de diffraction associée peut donc être de quelques dizaines d'Angström. Cependant, il
faut tenir compte du fait que toute la surface doit être balayée en pilotant le faisceau.
Un faisceau de taille minimale de 0,05 µm balayant une plaquette de 100 cm2
à une
fréquence de commande d'extinction du faisceau de 100 MHz, aura parcouru
l'ensemble de la plaquette au bout du temps suivant :
tb = 10- 8 x 100 x (2 x 105) x (2 x 105) = 4 x 104s = 11 heures
Ce temps est beaucoup trop long, puisqu'il correspond à l'opération d'écriture
d'une seule plaque. Un faisceau de 0,1 µm donne un temps de 3 heures qui devient
"raisonnable. En effet, ce temps est acceptable si on bénéficie du fait que le masque
est informatique. On peut ainsi réaliser, sur la même plaquette, des circuits intégrés de
nature différente (clients différents) alors que de façon classique c'est le même circuit
qui est réalisé sur un masque physique après photorépétition des motifs correspondant
à une puce. Les industriels disent dans ces conditions qu'ils réalisent des circuits multi-
projets (CMP). Cette technique est donc bien adaptée à des petites séries. Il faut
cependant être conscient qu'un tel système, représenté figure 10, est extrêmement
coûteux puisqu'il faut éviter toute vibration d'amplitude supérieure à un dixième de
microns. Il faut donc un dispositif antisismique régulé en température et très protégé de
toute poussière.
Figure 10 : représentation schématique d'une machine à écriture directe sur tranche utilisant un
faisceau d'électrons. Dans ce cas, le masque est informatique [5].
51
IX- Procédés de fabrication
1) Présentation :
Après avoir étudier l'ensemble des étapes technologiques de fabrication
élémentaires, ce chapitre est consacré à l'étude de quelques procédés complets
typiques tels que la réalisation de :
- diodes,
- transistors bipolaires,
- transistors MOS.
2) Nécessité de propreté : salle blanche :
Il faut avant tout se rappeler que le principal souci en cours de fabrication sera la
propreté. Une seule poussière de dimension micronique se déposant sur une plaquette
peut rendre inutilisable une puce de plusieurs millions de transistors. Ainsi, toute
fabrication s'effectuera dans une salle blanche, salle dont l'atmosphère est contrôlée en
température et humidité et dont le nombre de particules de poussières doit être le plus
faible possible. On définit la "classe de propreté" par le nombre de particules de
diamètre supérieur à O,5 µm par pieds cube ; 1 pieds = 1 ft = 30 cm, c'est-à-dire que
1 ft3
= 0,027 m3
= 27 litres. La notion de salle blanche commence lorsque la classe est
inférieure ou égale à 1000. Dans une atmosphère ambiante normale, la classe serait de
1 à 10 millions. Une bonne salle blanche industrielle est actuellement de classe 1 voire
0,1. Ceci nécessite des équipements nombreux et coûteux. En effet, l'atmosphère d'une
salle blanche de production doit être entièrement renouvelée toutes les 7 secondes en
évitant toute turbulence dans les zones de travail. Plusieurs millions de mètres cube
d'air sont ainsi soufflés et filtrés par heure dans une usine de production. Il faut éviter
toute introduction de poussière, en particulier par les opérateurs et personnels. C'est la
raison pour laquelle, toute personne entrant en salle blanche doit être entièrement
équipée de vêtements spéciaux (qui ne "peluchent" pas), de gants, cagoules,
surchausses ou chaussures spéciales, etc. Une "douche d'air", pouvant durer plusieurs
minutes, prise dans le sas d'entrée est nécessaire.
Il est donc clair que tous les équipements permettant d'effectuer le travail des
plaquettes seront installés en salle blanche ou dans des enceintes à atmosphère
rigoureusement contrôlée.
3) Nettoyage des substrats et plaquettes :
L'opération de nettoyage est indispensable avant chaque étape technologique
principale et correspond elle aussi à une longue suite d'opérations élémentaires. En
effet, si une plaquette ou un substrat a attendu entre deux étapes majeures, il faut
procéder à un dégraissage et un décapage de la surface en éliminant l'ensemble des
impuretés ainsi que l'oxyde natif du silicium qui se forme automatiquement s'il n'est pas
recouvert d'une couche protectrice.
52
- 3 min dans un bain de trichloréthylène chaud (dégraissage),
- 3 min dans un bain d'acétone (pour enlever le trichloréthylène),
- 3 min dans de l'alcool éthylique (pour enlever l'acétone),
- 5 min dans de l'eau désionisée,
- 10 min dans la solution basique SC1 (3 NH4OH + 7H2O + 3H2O2) à ébullition (élimine
les impuretés organiques en régénérant l'oxyde natif),
- 5 min dans de l'eau désionisée,
- 10 min dans la solution acide (HCl + 6 H2O + H2O2) à ébullition (élimine les impuretés
métalliques en régénérant l'oxyde natif),
- 5 min dans de l'eau désionisée,
- 1 min dans de l'acide fluorhydrique (élimination de l'oxyde natif)
- 5 min dans de l'eau désionisée.
Cet ensemble d'opérations est renouvelé autant que nécessaire au cours du
procédé complet de fabrication.
4) Réalisation d'une diode :
Nous allons donner les principales étapes permettant de fabriquer une diode à
jonction pn :
- nettoyage du substrat,
- oxydation épaisse humide pour fabriquer un masque de diffusion,
- photolithographie 1, d'ouverture de l'anode,
- implantation de Bore,
- diffusion-recuit d'implantation,
- photolithographie 2, d'ouverture de contacts,
- dépôt d'Aluminium,
- photolithographie 3, des contacts,
- recuit forming-gas (10%H2 dans N2),
- dépôt de verre de passivation (verre de Bore),
53
Figure 1: Réalisation d'une diode
Dans cette énumération, l'opération de photolithographie d'ouverture de l'anode
correspond à l'ensemble des opérations présentées dans la partie précédente.
5) Procédé de fabrication d'un transistor bipolaire :
La réalisation d'un transistor bipolaire nécessite un plus grand nombre d'étapes
que pour une diode en raison de la présence des trois zones d'émetteur, de base et de
collecteur, mais surtout en raison des propriétés électriques que l'on souhaite avoir au
niveau de la structure finie. En effet, il faut tenir compte de contraintes dont notamment:
- La résistance de collecteur doit être la plus faible possible, il faut donc une zone de
collecteur très dopée et un accès au collecteur enterré de faible résistance,
- La tenue en tension de la jonction collecteur-base doit être suffisamment grande, il
faut donc une zone de base faiblement dopée qui s'étende suffisamment,
- La résistance de base doit être faible, afin de ne pas limiter la réponse en fréquence
54
- Les transistors doivent être isolés électriquement entre eux ; un oxyde de silicium
localisé (LOCOS) sépare alors les structures,
- L'émetteur doit être très dopé,
- Le dopage de la base intrinsèque parfaitement contrôlé ; avec le dopage d'émetteur,
ils déterminent le gain du transistor.
Le procédé de fabrication va tenir compte de ces contraintes ; c'est ce qui
explique la présence d'un collecteur enterré très dopé, d'une zone de base épitaxiée de
dopage plus faible que la base intrinsèque, etc.
Les principales étapes de fabrication (figure 2) sont les suivantes :
- Nettoyage du substrat
- Réalisation de la couche N+
de collecteur enterré comprenant l'oxydation, la
photolithographie d'oxyde, l'implantation de dopant de type N, le recuit d'implantation, la
gravure de l'oxyde,
- Épitaxie de la zone de base faiblement dopée,
- La réalisation des LOCOS (utilisation d'une couche de nitrure comme barrière de
diffusion),
- La réalisation de la base intrinsèque par implantation ionique (oxydation,
photolithographie, implantation de Bore, gravure de l'oxyde, recuit d'implantation),
- La réalisation du puits d'accès au collecteur et de l'émetteur par implantations
ioniques,
- l'oxydation d'isolation,
- L'ouverture des contacts par photolithographie,
- La métallisation Aluminium,
- La photolithographie d'Aluminium.
Dans cette énumération, toutes les étapes ne sont pas détaillées. En les
énumérant de façon exhaustive, pour ce procédé considéré simple et ancien, le nombre
d'étapes élémentaires avoisine les 200. Des procédés plus récents atteignent
facilement 400 à 500 étapes. C'est ce qui explique, notamment que le temps de
fabrication est de l'ordre de 2 à 3 mois en fonction du degré de complexité.
55
Figure2 : Réalisation d'un transistor bipolaire.
56
6) Procédé de fabrication de transistors MOS
Avant de détailler les procédés de fabrication des transistors MOS, il peut être
utile de rappeler qu'il existe quatre types principaux de transistors dont les
représentation schématiques et les caractéristiques électriques directe et de transfert
sont regroupées ci-dessous.. Il s'agit des transistors NMOS à enrichissement ou à
appauvrissement, qui sont aussi respectivement normalement non conducteurs ou
normalement conducteurs (normally-off ou normally-on), et les transistors PMOS à
enrichissement ou a appauvrissement.
Figure 3 : Représentation schématique d'un transistor NMOS à enrichissement ou
normalement non conducteur. La tension de seuil est positive.
Figure 4 : Représentation schématique d'un transistor NMOS à appauvrissement ou normalement
conducteur. La tension de seuil est négative.
57
Figure 5 : Représentation schématique d'un transistor PMOS à enrichissement ou normalement
non conducteur. La tension de seuil est négative.
Figure 6 : Représentation schématique d'un transistor PMOS à appauvrissement ou normalement
conducteur. La tension de seuil est positive.
7) Procédé de fabrication d'un transistor MOS canal N
Il s'agit dans ce cas de réaliser des transistors MOS dans un même substrat.
Nous allons dans un premier temps regarder un procédé simplifié de réalisation de
transistors MOS à canal N à enrichissement. Cela signifie qu'il faut réaliser dans un
substrat de type p qui constituera la zone de canal, les deux zones très dopées de type
n qui constitueront les source et drain. L'oxyde de grille sur la zone de canal devra être
de très bonne qualité électronique. La fin du procédé consistera à réaliser les zones de
contacts de grille, de source et de drain.
Nous verrons dans un deuxième temps un procédé plus élaboré et donc plus complexe
de réalisation de transistors NMOS et PMOS en technologie CMOS.
58
La succession des étapes principales de réalisation est la suivante :
- nettoyage du substrat,
- oxydation épaisse de masquage de dopage des source et drain,
- photolithogravure d'ouverture des source et drain,
- dopage au phosphore (diffusion ou implantation ionique),
- photolithogravure d'ouverture de la zone de canal,
- oxydation fine de l'oxyde de grille,
- ajustement de la tension de seuil par implantation ionique de Bore,
- photolithographie d'ouverture des contacts de source et de drain,
59
- dépôt d'aluminium,
- photolithogravure de l'aluminium,
- recuit final sous forming-gas (mélange d'azote et d'hydrogène à 10%) pour améliorer
les contacts.
Ce procédé très simple permet de réaliser des transistors MOS ; il correspond aux
premiers procédés MOS mis en oeuvre industriellement au début des années 70.
Compte tenu des différentes étapes de masquage nécessitant des alignements, pour
diminuer les dimensions des transistors il a fallu trouver des méthodes permettant de
positionner automatiquement les zones de source et drain par rapport à la grille. Ces
technologies sont alors dites autoalignées. Le procédé CMOS suivant correspond à
cette évolution.
8) Procédé de fabrication des transistors MOS canal N et P en technologie
CMOS
Il s'agit dans ce cas de réaliser simultanément sur un même substrat des
transistors MOS à canal N et des transistors MOS à canal P. Pour compléter les
possibilités d'application, il est aussi fabriqué, au cours du même procédé et sur le
même substrat, des capacités.
Il faudra ainsi tenir compte du fait, d'une part, que la zone de canal des deux
types de transistors est de dopage différent, et d'autre part, que les transistors doivent
être électriquement isolés au niveau du substrat. Ceci nécessite la réalisation d'un
"puits" ou "caisson" de dopage pour la zone de canal de l'un des deux transistors ; dans
le cas présenté, le caisson est de type N et correspond donc à la zone de canal du
PMOS. Le dopage des zones de canal, qui ont une influence importante sur la tension
de seuil des transistors MOS, sont ajustés avec précision par implantation ionique de
bore pour le PMOS et d'arsenic pour le NMOS.
Les isolations sont réalisées à partir de LOCOS. Toutefois, afin d'éviter des
courts-circuits sous ces LOCOS, il faudra réaliser des surdopages bloquant la création
de canaux non désirés. Les impuretés dans les oxydes thermiques humides étant
essentiellement de charge positive, le blocage de ces transistors fictifs sera obtenu par
un fort dopage au bore sous l'interface LOCOS/substrat. On dit que l'on réalise un "anti-
canal".
Afin de réaliser des transistors à longueur de grille de très petite dimension, le procédé
utilise l'autoalignement grâce à l'emploi de grille en silicium polycristallin.
Pour ces structures de très petite dimension, les lignes métalliques
d'interconnexion et de prise de contact prennent de plus en plus d'importance. Dans le
cas de piste en aluminium, des passages de marches trop abruptes peuvent entraîner
la coupure de la piste (fissure dans la couche d'aluminium). Afin d'éviter ces coupures,
on arrondit les marches en utilisant un verre (LTO, Low Temperature Oxide)
suffisamment visqueux à des températures peu élevées (500-600°C) pour créer un
fluage au niveau des ouvertures de contact. Ce verre peut être du PSG (Phospho-
Silicon Glass) ou BPSG (Boro-Phospho-Silicon Glass). Ainsi, ce verre, après un recuit
autour de 600°C, permet une bonne continuité des co uches d'aluminium déposées en
surface et donc des pistes après photolithogravure.
60
Dans un premier temps, une liste exhaustive des 49 principales opérations
technologiques permettant la fabrication de ces structures est présentée. On a
représenté en caractères gras soit les zones concernées, soit les groupes d'étapes du
procédé. La figure 80 a) à i) qui suit, détaille par des schémas l'ensemble de ces
opérations.
Substrat P <100> résistivité : 12 Ohms.cm (8 à 15)
Na = 1,1.1015
cm-3
(1,7 à 0,9 x1015
cm-3
)
1.- Nettoyage.
2.- Oxyde piédestal 250 Å 950°C
3.- Dépôt de Si3N4 (LPCVD) 900 Å
4.- Recuit nitrure
5.- Photolithogravure 1 : ZONES ACTIVES
6.- Gravure plasma (R.I.E)
7.- Photolithogravure 2 : CAISSON
8.- Implantation Phosphore , dose=3,3.1012
cm-2
, énergie=180 keV
9.- Retrait résine
10.- Recuit caisson 1200°C 150 min
11.- Photolithogravure 3 : ANTICANAL
12.- Implantation Bore, dose= 3.1013
cm-2
, énergie= 45 keV
13.- Oxydation localisée (LOCOS) 6500 Å
14.- Gravure plasma O.N.O. (oxyde - nitrure - oxyde) R.I.E.
15.- Désoxydation de l'oxyde sacrificiel.
16.- Oxydation M.O.S. (oxyde de grille) 250 Å
17.- Implantation de Bore (pleine plaque) dose=6,5.1011
cm-2
, énergie=25 keV
Pour l'ajustement de la tension de seuil des PMOS et NMOS.
18.- Dépôt de résine face avant et Désoxydation face arrière
19.- Dépôt de Si-Poly (grille) LPCVD 4200 Å
20.- Dopage du Si-Poly par Diffusion POCl3 950 °C 44 min
21.- Dépôt de WSi2 par copulvérisation
22.- Recuit Flash (pour former le siliciure) 900°C
23.- Photolithogravure 4 : GRILLE POLY
24.- Gravure R.I.E. du "Polycide"
25.- Retrait résine
26.- Oxydation de la grille Si-Poly 500 Å 1000°C 60 min
(oxyde des capacités)
27.- Photolithogravure 5 : DRAIN - SOURCE NMOS
61
28.- Implantation d'Arsenic dose=4.1015
cm-2
, énergie=180 keV
29.- Retrait résine
30.- Photolithogravure 6 : DRAIN - SOURCE PMOS
31.- Implantation de Bore , dose=2.1015
cm-2
, énergie= 30 keV
32. Retrait résine
33.- Déoxydation (reste oxyde fin de capacité).
34.- Dépôt Si-Poly 2 (LPCVD) 4300 Å
35.- Dopage Si-Poly2: implantation de Phosphore, dose=5.1015
cm-2
, énergie=110 keV
36.- Recuit d'implantation 800°C 30 min N 2
37.- Dépôt d'oxyde L.T.O. : non dopé : 1000 Å+ dopé Phosphore 7 à 8 % : 7000 Å
38.- Densification du L.T.O. 650°C
39.- Photolithogravure 7 : GRAVURE DES CONTACTS
40.- Gravure de l'oxyde R.I.E.
41.- Retrait résine
42.- Recuit Flash (fluage LTO) 1170°C 20 sec. N 2
43.- Dépôt d'Aluminium-Silicium
44.- Photolithogravure 8 : GRAVURE ALU
45.- Gravure de l'Aluminium R.I.E.
46.- Recuit des interconnexions Al - Si
62
Etape 1 : nettoyage R.C.A
Etape 3 : dépôt nitrure + recuit
Etape 2 : oxyde piédestal
Etape 4 : résine photosensible
Etape 5 : photolithogravure 1: zones actives
Etape 6 : retrait résine
Etape 7 : dépôt résine Etape 8 : photolithogravure2: caisson n phosphore
Etape 9 : implantation caisson phosphore Etape 10 : retrait résine + recuit caisson
Etape 11 : photolithogravure 3: anticanal Etape 12 : implantation Bore anti-canal
63
Etape 13 : retrait résine Etape 14 : oxydation locos
Etape 15 : retrait nitrure Etape 16 : désoxydation
Etape 17 : oxydation mos Etape 18 : dopage ajustement tension seuil NMOS
Etape 19 : dépôt Si poly Etape 20 : dépôt Siliciure
Etape 21 : dépôt résine Etape 22 : photolithogravure 4: définition grille
Etape 23 : retrait résine Etape 24 : oxydation mince
64
Etape 26 : photolithogravure 5.Etape 25 : dépôt résine
Etape 28 : retrait résineEtape 27 : implantation Arsenic
Etape 29 : dépôt résine Etape 30 : photolithogravure 6:
Etape 31 : implantation Bore
Etape 32 : retrait résine
Etape 33 : désoxydation et dépôt poly2 Etape 34 : dépôt de LTO
65
Etape 35 : photolithogravure 7 du LTO Etape 36 : fluage de LTO
Etape 37 : dépôt Aluminium Etape 38 : dépôt résine
Etape 39 : photolithogravure 8 de Al Etape 40 : recuit Alu + forming-gas
66
X- Amélioration des procédés technologiques
1) Présentation :
La complexité des circuits intégrés augmentant avec la réduction des
dimensions, il est de plus en plus nécessaire de réaliser des interconnexions entre les
différents composants du circuit. Le nombre de niveaux d'interconnexion augmente
depuis plusieurs années. Des circuits qui étaient réalisables avec deux niveaux
d'interconnexion dans les années 70 (une couche poly et une couche aluminium),
deviennent obsolètes. On a assisté à une évolution dite double poly double alu dans les
années 80; les années 90 voient se développer des circuits multi-niveaux
d'interconnexion, des circuits démonstrateurs atteignant la dizaine de niveaux. En
production, en 1998, les circuits comporteront 7 niveaux.
Sachant que chaque niveau d'interconnexion nécessite une couche isolante
gravée et une couche conductrice, elle aussi gravée, après la réalisation de plusieurs
niveaux, le relief devient tellement perturbé qu'il est difficile d'obtenir des lignes
conductrices complètes sans défauts (coupures ou amorces de coupures). Des
techniques qui minimisent ce relief ont donc été développées dont le but est
essentiellement de planariser la surface sur laquelle va s'effectuer le nouveau dépôt.
Deux principales méthodes sont proposées, l'une consistant à combler les cavités par
une couche, l'autre à araser les sommets des reliefs par gravure.
2) Planarisation des couches :
A) Méthode "SOG":
L'acronyme SOG vient de "Spin On Glass" que l'on pourrait traduire par "verre
centrifugé". En pratique, cette technique consiste en un dépôt de dioxyde de silicium
par étalement par centrifugation (tournette) d'une couche visqueuse à température
ambiante contenant les éléments permettant la synthèse de SiO2. Lors de son
étalement, la solution a tendance à combler les trous ou les dépressions de la surface
du circuit qui peut être très tourmentée en fonction du nombre d'étapes d'oxydation
antérieures par exemple (figure 1). Après étuvage et évaporation des parties volatiles,
la couche est essentiellement constituée de SiO2 et est recuite de manière à obtenir
une densification de celui-ci. Après cette étape, en moyenne les deux tiers du relief sont
atténués. Ceci permet d'effectuer plusieurs niveaux d'interconnexion, en limitant les
problèmes de planéité. Il peut être noté que comme pour l'étalement de la résine de
masquage, les étalonnages de la viscosité de la résine, de l'accélération et de la vitesse
de la tournette sont nécessaires.
Figure 1 : Technique de planarisation utilisant du SOG.
Cours de microelectronique1
Cours de microelectronique1
Cours de microelectronique1
Cours de microelectronique1
Cours de microelectronique1
Cours de microelectronique1
Cours de microelectronique1
Cours de microelectronique1
Cours de microelectronique1

Weitere ähnliche Inhalte

Was ist angesagt?

l'Evaporation thermique :technique de depot CVD
l'Evaporation thermique :technique de depot CVDl'Evaporation thermique :technique de depot CVD
l'Evaporation thermique :technique de depot CVDMohamed Amin Elaguech
 
cours de spectroscopie
cours de spectroscopiecours de spectroscopie
cours de spectroscopieAnne Baudouin
 
Tp 3 transmission de donné modulation d'amplitude,de fréquence et de phase
Tp 3 transmission de donné modulation d'amplitude,de fréquence et de phaseTp 3 transmission de donné modulation d'amplitude,de fréquence et de phase
Tp 3 transmission de donné modulation d'amplitude,de fréquence et de phasehamdinho
 
37248247 cours-hyperfrequences-parametres-s-antennes (1)
37248247 cours-hyperfrequences-parametres-s-antennes (1)37248247 cours-hyperfrequences-parametres-s-antennes (1)
37248247 cours-hyperfrequences-parametres-s-antennes (1)khaalidkk
 
logique combinatoire
logique combinatoire logique combinatoire
logique combinatoire morin moli
 
Chapitre5 cristallographie
Chapitre5 cristallographieChapitre5 cristallographie
Chapitre5 cristallographieMouna Souissi
 
3_Presentation_PV_technique.pdf
3_Presentation_PV_technique.pdf3_Presentation_PV_technique.pdf
3_Presentation_PV_technique.pdfHamidInekach
 
Cours choix de c�bles
Cours choix de c�blesCours choix de c�bles
Cours choix de c�blessaid khattabi
 
Projet de communication numérique Réalisation d'une chaîne de transmission nu...
Projet de communication numérique Réalisation d'une chaîne de transmission nu...Projet de communication numérique Réalisation d'une chaîne de transmission nu...
Projet de communication numérique Réalisation d'une chaîne de transmission nu...Yassine Nasser
 
Etude, conception et réalisation d'une antenne planaire HF en technologie mic...
Etude, conception et réalisation d'une antenne planaire HF en technologie mic...Etude, conception et réalisation d'une antenne planaire HF en technologie mic...
Etude, conception et réalisation d'une antenne planaire HF en technologie mic...Ghassen Chaieb
 
Protection électrique du risque d'orage
Protection électrique du risque d'orageProtection électrique du risque d'orage
Protection électrique du risque d'oragePatrick Prin
 
Prévention des risques électriques
Prévention des risques électriquesPrévention des risques électriques
Prévention des risques électriquesBoubakri Mohamed
 
Exercices vhdl
Exercices vhdlExercices vhdl
Exercices vhdlyassinesmz
 
Ener1 - CM3 - Puissance électrique
Ener1  - CM3 - Puissance électriqueEner1  - CM3 - Puissance électrique
Ener1 - CM3 - Puissance électriquePierre Maréchal
 
étude-du-diagramme-fer-carbone
étude-du-diagramme-fer-carboneétude-du-diagramme-fer-carbone
étude-du-diagramme-fer-carboneRafael Nadal
 
Rapport de microbiologie
Rapport de microbiologieRapport de microbiologie
Rapport de microbiologieHassan NAIT-SI
 
Spectroscopie ultraviolet-visible
Spectroscopie ultraviolet-visible Spectroscopie ultraviolet-visible
Spectroscopie ultraviolet-visible SELLANI Halima
 

Was ist angesagt? (20)

l'Evaporation thermique :technique de depot CVD
l'Evaporation thermique :technique de depot CVDl'Evaporation thermique :technique de depot CVD
l'Evaporation thermique :technique de depot CVD
 
cours de spectroscopie
cours de spectroscopiecours de spectroscopie
cours de spectroscopie
 
Tp 3 transmission de donné modulation d'amplitude,de fréquence et de phase
Tp 3 transmission de donné modulation d'amplitude,de fréquence et de phaseTp 3 transmission de donné modulation d'amplitude,de fréquence et de phase
Tp 3 transmission de donné modulation d'amplitude,de fréquence et de phase
 
37248247 cours-hyperfrequences-parametres-s-antennes (1)
37248247 cours-hyperfrequences-parametres-s-antennes (1)37248247 cours-hyperfrequences-parametres-s-antennes (1)
37248247 cours-hyperfrequences-parametres-s-antennes (1)
 
logique combinatoire
logique combinatoire logique combinatoire
logique combinatoire
 
Chapitre5 cristallographie
Chapitre5 cristallographieChapitre5 cristallographie
Chapitre5 cristallographie
 
3_Presentation_PV_technique.pdf
3_Presentation_PV_technique.pdf3_Presentation_PV_technique.pdf
3_Presentation_PV_technique.pdf
 
Cours choix de c�bles
Cours choix de c�blesCours choix de c�bles
Cours choix de c�bles
 
Projet de communication numérique Réalisation d'une chaîne de transmission nu...
Projet de communication numérique Réalisation d'une chaîne de transmission nu...Projet de communication numérique Réalisation d'une chaîne de transmission nu...
Projet de communication numérique Réalisation d'une chaîne de transmission nu...
 
Etude, conception et réalisation d'une antenne planaire HF en technologie mic...
Etude, conception et réalisation d'une antenne planaire HF en technologie mic...Etude, conception et réalisation d'une antenne planaire HF en technologie mic...
Etude, conception et réalisation d'une antenne planaire HF en technologie mic...
 
Capteurs et-actionneurs
Capteurs et-actionneursCapteurs et-actionneurs
Capteurs et-actionneurs
 
Protection électrique du risque d'orage
Protection électrique du risque d'orageProtection électrique du risque d'orage
Protection électrique du risque d'orage
 
Prévention des risques électriques
Prévention des risques électriquesPrévention des risques électriques
Prévention des risques électriques
 
Exercices vhdl
Exercices vhdlExercices vhdl
Exercices vhdl
 
Ener1 - CM3 - Puissance électrique
Ener1  - CM3 - Puissance électriqueEner1  - CM3 - Puissance électrique
Ener1 - CM3 - Puissance électrique
 
machine asynchrone.pdf
machine asynchrone.pdfmachine asynchrone.pdf
machine asynchrone.pdf
 
Risque electrique
Risque electriqueRisque electrique
Risque electrique
 
étude-du-diagramme-fer-carbone
étude-du-diagramme-fer-carboneétude-du-diagramme-fer-carbone
étude-du-diagramme-fer-carbone
 
Rapport de microbiologie
Rapport de microbiologieRapport de microbiologie
Rapport de microbiologie
 
Spectroscopie ultraviolet-visible
Spectroscopie ultraviolet-visible Spectroscopie ultraviolet-visible
Spectroscopie ultraviolet-visible
 

Andere mochten auch

Paris Palm Oil Symposium: CVD and palm oil
Paris Palm Oil Symposium: CVD and palm oilParis Palm Oil Symposium: CVD and palm oil
Paris Palm Oil Symposium: CVD and palm oilPelouze Guy-André
 
Rosmorduc o virus et chc 2015- vr2015
Rosmorduc o  virus et chc 2015- vr2015Rosmorduc o  virus et chc 2015- vr2015
Rosmorduc o virus et chc 2015- vr2015odeckmyn
 
Copper jumper clamps
Copper jumper clampsCopper jumper clamps
Copper jumper clampsconexcoppe
 
Mathurin ph alcoolvir c du 2015
Mathurin ph   alcoolvir c du 2015Mathurin ph   alcoolvir c du 2015
Mathurin ph alcoolvir c du 2015odeckmyn
 
Questionnaire sur les tension dans un circuit série
Questionnaire sur les tension dans un circuit sérieQuestionnaire sur les tension dans un circuit série
Questionnaire sur les tension dans un circuit sérieOndernemersschool
 
Cours transistor
Cours transistorCours transistor
Cours transistorAhmed HA
 
Notions de semi conducteur
Notions de semi conducteurNotions de semi conducteur
Notions de semi conducteurPeronnin Eric
 
Fabrication methods - Nanoscience and nanotechnologies
Fabrication methods - Nanoscience and nanotechnologiesFabrication methods - Nanoscience and nanotechnologies
Fabrication methods - Nanoscience and nanotechnologiesNANOYOU
 
Ic technology- diffusion and ion implantation
Ic technology- diffusion and ion implantationIc technology- diffusion and ion implantation
Ic technology- diffusion and ion implantationkriticka sharma
 
Veille technologique : méthode et outils
Veille technologique : méthode et outilsVeille technologique : méthode et outils
Veille technologique : méthode et outilsM-Colette Fauré
 
Gestion de la batterie d'un micro-drone projet fin d'année NIDBELKACEM Mouhci...
Gestion de la batterie d'un micro-drone projet fin d'année NIDBELKACEM Mouhci...Gestion de la batterie d'un micro-drone projet fin d'année NIDBELKACEM Mouhci...
Gestion de la batterie d'un micro-drone projet fin d'année NIDBELKACEM Mouhci...Mouhcine Nid Belkacem
 
Exercices coprrigés sur les torseurs
Exercices coprrigés sur les torseursExercices coprrigés sur les torseurs
Exercices coprrigés sur les torseursm.a bensaaoud
 

Andere mochten auch (20)

Les transistors
Les transistorsLes transistors
Les transistors
 
Paris Palm Oil Symposium: CVD and palm oil
Paris Palm Oil Symposium: CVD and palm oilParis Palm Oil Symposium: CVD and palm oil
Paris Palm Oil Symposium: CVD and palm oil
 
Silicium
SiliciumSilicium
Silicium
 
Rosmorduc o virus et chc 2015- vr2015
Rosmorduc o  virus et chc 2015- vr2015Rosmorduc o  virus et chc 2015- vr2015
Rosmorduc o virus et chc 2015- vr2015
 
Copper jumper clamps
Copper jumper clampsCopper jumper clamps
Copper jumper clamps
 
Mathurin ph alcoolvir c du 2015
Mathurin ph   alcoolvir c du 2015Mathurin ph   alcoolvir c du 2015
Mathurin ph alcoolvir c du 2015
 
Questionnaire sur les tension dans un circuit série
Questionnaire sur les tension dans un circuit sérieQuestionnaire sur les tension dans un circuit série
Questionnaire sur les tension dans un circuit série
 
3cmoscours
3cmoscours3cmoscours
3cmoscours
 
Cours transistor
Cours transistorCours transistor
Cours transistor
 
Hydraulic machines
Hydraulic machinesHydraulic machines
Hydraulic machines
 
Diodes
DiodesDiodes
Diodes
 
Notions de semi conducteur
Notions de semi conducteurNotions de semi conducteur
Notions de semi conducteur
 
Fabrication methods - Nanoscience and nanotechnologies
Fabrication methods - Nanoscience and nanotechnologiesFabrication methods - Nanoscience and nanotechnologies
Fabrication methods - Nanoscience and nanotechnologies
 
Transistors
TransistorsTransistors
Transistors
 
Ic technology- diffusion and ion implantation
Ic technology- diffusion and ion implantationIc technology- diffusion and ion implantation
Ic technology- diffusion and ion implantation
 
Chapitre 1 rdm
Chapitre 1 rdmChapitre 1 rdm
Chapitre 1 rdm
 
Veille technologique : méthode et outils
Veille technologique : méthode et outilsVeille technologique : méthode et outils
Veille technologique : méthode et outils
 
Gestion de la batterie d'un micro-drone projet fin d'année NIDBELKACEM Mouhci...
Gestion de la batterie d'un micro-drone projet fin d'année NIDBELKACEM Mouhci...Gestion de la batterie d'un micro-drone projet fin d'année NIDBELKACEM Mouhci...
Gestion de la batterie d'un micro-drone projet fin d'année NIDBELKACEM Mouhci...
 
Acide phosphorique
Acide phosphoriqueAcide phosphorique
Acide phosphorique
 
Exercices coprrigés sur les torseurs
Exercices coprrigés sur les torseursExercices coprrigés sur les torseurs
Exercices coprrigés sur les torseurs
 

Ähnlich wie Cours de microelectronique1

Graphene, ce nouveau cristal de carbone bi dimensionnel
Graphene, ce nouveau cristal de carbone bi  dimensionnelGraphene, ce nouveau cristal de carbone bi  dimensionnel
Graphene, ce nouveau cristal de carbone bi dimensionnelZouhirAITRAHHOU
 
Séminaire de clôture du projet SOTHERCO | Arlon (ULg) - 20 septembre 2017
Séminaire de clôture du projet SOTHERCO | Arlon (ULg) - 20 septembre 2017Séminaire de clôture du projet SOTHERCO | Arlon (ULg) - 20 septembre 2017
Séminaire de clôture du projet SOTHERCO | Arlon (ULg) - 20 septembre 2017Cluster TWEED
 
Présentation1
Présentation1Présentation1
Présentation1imenammar
 
Caractérisation par spectroscopie IR d'un matériau hybride sol-gel.pptx
Caractérisation par spectroscopie IR d'un matériau hybride sol-gel.pptxCaractérisation par spectroscopie IR d'un matériau hybride sol-gel.pptx
Caractérisation par spectroscopie IR d'un matériau hybride sol-gel.pptxMohammadJawad54
 
Recyclage des matériaux composites
 Recyclage des matériaux composites Recyclage des matériaux composites
Recyclage des matériaux compositesKhaoula Jellouli
 
evolution de cout.pptx
evolution de cout.pptxevolution de cout.pptx
evolution de cout.pptxIslemKosksi
 
Procédés de fabrication des carreaux céramiques
Procédés de fabrication des carreaux céramiquesProcédés de fabrication des carreaux céramiques
Procédés de fabrication des carreaux céramiquesbougrinatchouaib
 
Béton cellulaire
Béton cellulaire Béton cellulaire
Béton cellulaire Sami Sahli
 
effet de l'incorporation d'ajuvant minéraux sur les propriétés des ciments g...
 effet de l'incorporation d'ajuvant minéraux sur les propriétés des ciments g... effet de l'incorporation d'ajuvant minéraux sur les propriétés des ciments g...
effet de l'incorporation d'ajuvant minéraux sur les propriétés des ciments g...Noël Djobo
 
MATERIAUX DE CONSTRUCTION : CHAUX ET PLÂTRE
MATERIAUX DE CONSTRUCTION : CHAUX ET PLÂTREMATERIAUX DE CONSTRUCTION : CHAUX ET PLÂTRE
MATERIAUX DE CONSTRUCTION : CHAUX ET PLÂTREbrahim mouhcine
 
Ms.Gc.Benmessaoud.pdf
Ms.Gc.Benmessaoud.pdfMs.Gc.Benmessaoud.pdf
Ms.Gc.Benmessaoud.pdfHoussameNaim1
 
présantation final.pptx
présantation final.pptxprésantation final.pptx
présantation final.pptxNassimAli2
 

Ähnlich wie Cours de microelectronique1 (18)

Report 3A
Report 3AReport 3A
Report 3A
 
Graphene, ce nouveau cristal de carbone bi dimensionnel
Graphene, ce nouveau cristal de carbone bi  dimensionnelGraphene, ce nouveau cristal de carbone bi  dimensionnel
Graphene, ce nouveau cristal de carbone bi dimensionnel
 
Séminaire de clôture du projet SOTHERCO | Arlon (ULg) - 20 septembre 2017
Séminaire de clôture du projet SOTHERCO | Arlon (ULg) - 20 septembre 2017Séminaire de clôture du projet SOTHERCO | Arlon (ULg) - 20 septembre 2017
Séminaire de clôture du projet SOTHERCO | Arlon (ULg) - 20 septembre 2017
 
Présentation1
Présentation1Présentation1
Présentation1
 
Solide solide
Solide solideSolide solide
Solide solide
 
ciment.pdf
ciment.pdfciment.pdf
ciment.pdf
 
Caractérisation par spectroscopie IR d'un matériau hybride sol-gel.pptx
Caractérisation par spectroscopie IR d'un matériau hybride sol-gel.pptxCaractérisation par spectroscopie IR d'un matériau hybride sol-gel.pptx
Caractérisation par spectroscopie IR d'un matériau hybride sol-gel.pptx
 
Ciment
CimentCiment
Ciment
 
Recyclage des matériaux composites
 Recyclage des matériaux composites Recyclage des matériaux composites
Recyclage des matériaux composites
 
B1-0.pdf
B1-0.pdfB1-0.pdf
B1-0.pdf
 
evolution de cout.pptx
evolution de cout.pptxevolution de cout.pptx
evolution de cout.pptx
 
Procédés de fabrication des carreaux céramiques
Procédés de fabrication des carreaux céramiquesProcédés de fabrication des carreaux céramiques
Procédés de fabrication des carreaux céramiques
 
Béton cellulaire
Béton cellulaire Béton cellulaire
Béton cellulaire
 
Expo sol gel
Expo sol gelExpo sol gel
Expo sol gel
 
effet de l'incorporation d'ajuvant minéraux sur les propriétés des ciments g...
 effet de l'incorporation d'ajuvant minéraux sur les propriétés des ciments g... effet de l'incorporation d'ajuvant minéraux sur les propriétés des ciments g...
effet de l'incorporation d'ajuvant minéraux sur les propriétés des ciments g...
 
MATERIAUX DE CONSTRUCTION : CHAUX ET PLÂTRE
MATERIAUX DE CONSTRUCTION : CHAUX ET PLÂTREMATERIAUX DE CONSTRUCTION : CHAUX ET PLÂTRE
MATERIAUX DE CONSTRUCTION : CHAUX ET PLÂTRE
 
Ms.Gc.Benmessaoud.pdf
Ms.Gc.Benmessaoud.pdfMs.Gc.Benmessaoud.pdf
Ms.Gc.Benmessaoud.pdf
 
présantation final.pptx
présantation final.pptxprésantation final.pptx
présantation final.pptx
 

Kürzlich hochgeladen

Zotero avancé - support de formation doctorants SHS 2024
Zotero avancé - support de formation doctorants SHS 2024Zotero avancé - support de formation doctorants SHS 2024
Zotero avancé - support de formation doctorants SHS 2024Alain Marois
 
Cours SE Gestion des périphériques - IG IPSET
Cours SE Gestion des périphériques - IG IPSETCours SE Gestion des périphériques - IG IPSET
Cours SE Gestion des périphériques - IG IPSETMedBechir
 
Bibdoc 2024 - Ecologie du livre et creation de badge.pdf
Bibdoc 2024 - Ecologie du livre et creation de badge.pdfBibdoc 2024 - Ecologie du livre et creation de badge.pdf
Bibdoc 2024 - Ecologie du livre et creation de badge.pdfBibdoc 37
 
SciencesPo_Aix_InnovationPédagogique_Atelier_FormationRecherche.pdf
SciencesPo_Aix_InnovationPédagogique_Atelier_FormationRecherche.pdfSciencesPo_Aix_InnovationPédagogique_Atelier_FormationRecherche.pdf
SciencesPo_Aix_InnovationPédagogique_Atelier_FormationRecherche.pdfSKennel
 
PIE-A2-P 5- Supports stagiaires.pptx.pdf
PIE-A2-P 5- Supports stagiaires.pptx.pdfPIE-A2-P 5- Supports stagiaires.pptx.pdf
PIE-A2-P 5- Supports stagiaires.pptx.pdfRiDaHAziz
 
SciencesPo_Aix_InnovationPédagogique_Bilan.pdf
SciencesPo_Aix_InnovationPédagogique_Bilan.pdfSciencesPo_Aix_InnovationPédagogique_Bilan.pdf
SciencesPo_Aix_InnovationPédagogique_Bilan.pdfSKennel
 
Principe de fonctionnement d'un moteur 4 temps
Principe de fonctionnement d'un moteur 4 tempsPrincipe de fonctionnement d'un moteur 4 temps
Principe de fonctionnement d'un moteur 4 tempsRajiAbdelghani
 
Bibdoc 2024 - Les maillons de la chaine du livre face aux enjeux écologiques.pdf
Bibdoc 2024 - Les maillons de la chaine du livre face aux enjeux écologiques.pdfBibdoc 2024 - Les maillons de la chaine du livre face aux enjeux écologiques.pdf
Bibdoc 2024 - Les maillons de la chaine du livre face aux enjeux écologiques.pdfBibdoc 37
 
Bernard Réquichot.pptx Peintre français
Bernard Réquichot.pptx   Peintre françaisBernard Réquichot.pptx   Peintre français
Bernard Réquichot.pptx Peintre françaisTxaruka
 
Annie Ernaux Extérieurs. pptx. Exposition basée sur un livre .
Annie   Ernaux  Extérieurs. pptx. Exposition basée sur un livre .Annie   Ernaux  Extérieurs. pptx. Exposition basée sur un livre .
Annie Ernaux Extérieurs. pptx. Exposition basée sur un livre .Txaruka
 
Presentation de la plateforme Moodle - avril 2024
Presentation de la plateforme Moodle - avril 2024Presentation de la plateforme Moodle - avril 2024
Presentation de la plateforme Moodle - avril 2024Gilles Le Page
 
SciencesPo_Aix_InnovationPédagogique_Atelier_EtudiantActeur.pdf
SciencesPo_Aix_InnovationPédagogique_Atelier_EtudiantActeur.pdfSciencesPo_Aix_InnovationPédagogique_Atelier_EtudiantActeur.pdf
SciencesPo_Aix_InnovationPédagogique_Atelier_EtudiantActeur.pdfSKennel
 
PIE-A2-P4-support stagiaires sept 22-validé.pdf
PIE-A2-P4-support stagiaires sept 22-validé.pdfPIE-A2-P4-support stagiaires sept 22-validé.pdf
PIE-A2-P4-support stagiaires sept 22-validé.pdfRiDaHAziz
 
SciencesPo_Aix_InnovationPédagogique_Conférence_SK.pdf
SciencesPo_Aix_InnovationPédagogique_Conférence_SK.pdfSciencesPo_Aix_InnovationPédagogique_Conférence_SK.pdf
SciencesPo_Aix_InnovationPédagogique_Conférence_SK.pdfSKennel
 
SciencesPo_Aix_InnovationPédagogique_Atelier_IA.pdf
SciencesPo_Aix_InnovationPédagogique_Atelier_IA.pdfSciencesPo_Aix_InnovationPédagogique_Atelier_IA.pdf
SciencesPo_Aix_InnovationPédagogique_Atelier_IA.pdfSKennel
 
Cours SE Le système Linux : La ligne de commande bash - IG IPSET
Cours SE Le système Linux : La ligne de commande bash - IG IPSETCours SE Le système Linux : La ligne de commande bash - IG IPSET
Cours SE Le système Linux : La ligne de commande bash - IG IPSETMedBechir
 
Le Lean sur une ligne de production : Formation et mise en application directe
Le Lean sur une ligne de production : Formation et mise en application directeLe Lean sur une ligne de production : Formation et mise en application directe
Le Lean sur une ligne de production : Formation et mise en application directeXL Groupe
 
LA MONTÉE DE L'ÉDUCATION DANS LE MONDE DE LA PRÉHISTOIRE À L'ÈRE CONTEMPORAIN...
LA MONTÉE DE L'ÉDUCATION DANS LE MONDE DE LA PRÉHISTOIRE À L'ÈRE CONTEMPORAIN...LA MONTÉE DE L'ÉDUCATION DANS LE MONDE DE LA PRÉHISTOIRE À L'ÈRE CONTEMPORAIN...
LA MONTÉE DE L'ÉDUCATION DANS LE MONDE DE LA PRÉHISTOIRE À L'ÈRE CONTEMPORAIN...Faga1939
 

Kürzlich hochgeladen (19)

Zotero avancé - support de formation doctorants SHS 2024
Zotero avancé - support de formation doctorants SHS 2024Zotero avancé - support de formation doctorants SHS 2024
Zotero avancé - support de formation doctorants SHS 2024
 
Cours SE Gestion des périphériques - IG IPSET
Cours SE Gestion des périphériques - IG IPSETCours SE Gestion des périphériques - IG IPSET
Cours SE Gestion des périphériques - IG IPSET
 
Bibdoc 2024 - Ecologie du livre et creation de badge.pdf
Bibdoc 2024 - Ecologie du livre et creation de badge.pdfBibdoc 2024 - Ecologie du livre et creation de badge.pdf
Bibdoc 2024 - Ecologie du livre et creation de badge.pdf
 
SciencesPo_Aix_InnovationPédagogique_Atelier_FormationRecherche.pdf
SciencesPo_Aix_InnovationPédagogique_Atelier_FormationRecherche.pdfSciencesPo_Aix_InnovationPédagogique_Atelier_FormationRecherche.pdf
SciencesPo_Aix_InnovationPédagogique_Atelier_FormationRecherche.pdf
 
PIE-A2-P 5- Supports stagiaires.pptx.pdf
PIE-A2-P 5- Supports stagiaires.pptx.pdfPIE-A2-P 5- Supports stagiaires.pptx.pdf
PIE-A2-P 5- Supports stagiaires.pptx.pdf
 
SciencesPo_Aix_InnovationPédagogique_Bilan.pdf
SciencesPo_Aix_InnovationPédagogique_Bilan.pdfSciencesPo_Aix_InnovationPédagogique_Bilan.pdf
SciencesPo_Aix_InnovationPédagogique_Bilan.pdf
 
Principe de fonctionnement d'un moteur 4 temps
Principe de fonctionnement d'un moteur 4 tempsPrincipe de fonctionnement d'un moteur 4 temps
Principe de fonctionnement d'un moteur 4 temps
 
Bibdoc 2024 - Les maillons de la chaine du livre face aux enjeux écologiques.pdf
Bibdoc 2024 - Les maillons de la chaine du livre face aux enjeux écologiques.pdfBibdoc 2024 - Les maillons de la chaine du livre face aux enjeux écologiques.pdf
Bibdoc 2024 - Les maillons de la chaine du livre face aux enjeux écologiques.pdf
 
Bernard Réquichot.pptx Peintre français
Bernard Réquichot.pptx   Peintre françaisBernard Réquichot.pptx   Peintre français
Bernard Réquichot.pptx Peintre français
 
Annie Ernaux Extérieurs. pptx. Exposition basée sur un livre .
Annie   Ernaux  Extérieurs. pptx. Exposition basée sur un livre .Annie   Ernaux  Extérieurs. pptx. Exposition basée sur un livre .
Annie Ernaux Extérieurs. pptx. Exposition basée sur un livre .
 
Presentation de la plateforme Moodle - avril 2024
Presentation de la plateforme Moodle - avril 2024Presentation de la plateforme Moodle - avril 2024
Presentation de la plateforme Moodle - avril 2024
 
SciencesPo_Aix_InnovationPédagogique_Atelier_EtudiantActeur.pdf
SciencesPo_Aix_InnovationPédagogique_Atelier_EtudiantActeur.pdfSciencesPo_Aix_InnovationPédagogique_Atelier_EtudiantActeur.pdf
SciencesPo_Aix_InnovationPédagogique_Atelier_EtudiantActeur.pdf
 
PIE-A2-P4-support stagiaires sept 22-validé.pdf
PIE-A2-P4-support stagiaires sept 22-validé.pdfPIE-A2-P4-support stagiaires sept 22-validé.pdf
PIE-A2-P4-support stagiaires sept 22-validé.pdf
 
DO PALÁCIO À ASSEMBLEIA .
DO PALÁCIO À ASSEMBLEIA                 .DO PALÁCIO À ASSEMBLEIA                 .
DO PALÁCIO À ASSEMBLEIA .
 
SciencesPo_Aix_InnovationPédagogique_Conférence_SK.pdf
SciencesPo_Aix_InnovationPédagogique_Conférence_SK.pdfSciencesPo_Aix_InnovationPédagogique_Conférence_SK.pdf
SciencesPo_Aix_InnovationPédagogique_Conférence_SK.pdf
 
SciencesPo_Aix_InnovationPédagogique_Atelier_IA.pdf
SciencesPo_Aix_InnovationPédagogique_Atelier_IA.pdfSciencesPo_Aix_InnovationPédagogique_Atelier_IA.pdf
SciencesPo_Aix_InnovationPédagogique_Atelier_IA.pdf
 
Cours SE Le système Linux : La ligne de commande bash - IG IPSET
Cours SE Le système Linux : La ligne de commande bash - IG IPSETCours SE Le système Linux : La ligne de commande bash - IG IPSET
Cours SE Le système Linux : La ligne de commande bash - IG IPSET
 
Le Lean sur une ligne de production : Formation et mise en application directe
Le Lean sur une ligne de production : Formation et mise en application directeLe Lean sur une ligne de production : Formation et mise en application directe
Le Lean sur une ligne de production : Formation et mise en application directe
 
LA MONTÉE DE L'ÉDUCATION DANS LE MONDE DE LA PRÉHISTOIRE À L'ÈRE CONTEMPORAIN...
LA MONTÉE DE L'ÉDUCATION DANS LE MONDE DE LA PRÉHISTOIRE À L'ÈRE CONTEMPORAIN...LA MONTÉE DE L'ÉDUCATION DANS LE MONDE DE LA PRÉHISTOIRE À L'ÈRE CONTEMPORAIN...
LA MONTÉE DE L'ÉDUCATION DANS LE MONDE DE LA PRÉHISTOIRE À L'ÈRE CONTEMPORAIN...
 

Cours de microelectronique1

  • 1. 1 Ministère de l’Enseignement Supérieur et de la Recherche Scientifique Université Larbi BenM’hidi - Oum El Bouaghi Département de physique Technologie des Composants en Microélectronique Tiré du module éducatif en microélectronique Du professeur Olivier Bonnaud Université de Rennes 1 http://www.microelectronique.univ-rennes1.fr/fr/index_chap1.htm Adapté au cours de Master 1 MICROÉLECTRONIQUE TECHNOLOGIE 1 Par le Professeur Abdelhakim MAHDJOUB Domaine Filière Spécialité Science de la matière Physique COMPATIBILITÉ ÉLECTROMAGNÉTIQUE Et COMPOSANTS ELECTRONIQUES
  • 2. 2 I- Purification du silicium 1) Sources du Silicium Le silicium existe en grande quantité à la surface du globe terrestre. C'est le deuxième élément le plus fréquent de la croûte terrestre ; O2 (46%), Si (28%), Al (8%). Sa température de fusion est de 1415°C, qui est don c assez élevée, et son affinité chimique est forte à haute température. Le silicium cristallise dans une structure cubique face centrée avec motif à deux atomes décalés d'un quart suivant la première diagonale, identique à celle du diamant mais avec une distance interatomique légèrement plus élevée. C'est donc un matériau avec une bonne cohésion et donc une bonne stabilité thermique. Les sources naturelles sont essentiellement les silicates (sable, etc.) mais aussi du SiO2 sous diverses formes (zircon, jade, mica, quartz …) donc avec plus ou moins de contaminants (qui lui donnent des couleurs différentes). Ainsi, le silicium existe essentiellement sous forme oxydée et nécessite d'une part d'être réduit et d'autre part d'être purifié afin d'obtenir un matériau dit de qualité électronique ou EGS (Electronic Grade Silicon). La silice n'étant pas réduite simplement par l'hydrogène, il faut donc trouver une technique de réduction, notamment par le carbone à très haute température. 2) Pureté chimique requise La qualité électronique ou microélectronique est en fait difficile à obtenir et nécessite une succession importante d'étapes de purification. Il faut se rappeler que d'une part toute la théorie effectuée sur les dispositifs à semi-conducteur est basée sur un cristal parfait ou quasi-parfait. La notion de dopage tient compte du fait que l'on peut maîtriser la concentration d'atomes dopants au niveau de 1014 cm-3 . Bien que ce chiffre puisse paraître grand il est en fait très faible par rapport au nombre d'atomes par unité de volume du réseau cristallin. En effet, dans le cas du silicium, il y a 5.1022 atomes par cm3 . Cela signifie que la pureté chimique exigée doit être meilleure que 10-9 , soit une impureté pour un milliard d'atome Si (ou 0,001 ppm). La démarche va donc consister dans un premier temps à obtenir du silicium de qualité dite métallurgique MGS (Metallurgic Grade Silicon), puis de purifier le matériau pour atteindre la pureté requise ou électronique EGS (Electronic Grade Silicon). 3) Réduction de la silice La première étape consiste à effectuer une électrolyse dans un four à arc pour atteindre des températures suffisantes permettant de fondre la silice. Le SiO2 est mélangé à du carbone (charbon). En pratique, l'électrode est en graphite et est consommée par l'arc électrique. La réaction bilan est la suivante, sachant qu'en réalité elle résulte d'un grand nombre de réactions chimiques intermédiaires : SiC(liquide) + SiO2 (liquide) ---> Si (liquide) + SiO (gaz) + CO (gaz)
  • 3. 3 Nous donnons dans la suite quelques réactions intermédiaires mises en jeu dans le four à arc montrant la complexité de la chimie effective à ces hautes températures : SiO + SiO2 ---> Si (solide) + SiO (gaz) + CO (gaz) SiO2 + 2C ---> Si + 2CO SiO2 + C ---> + SiO + CO SiO + CO ---> SiO2 + C Figure I.1 : Electrolyse de la silice permettant d'obtenir du silicium fondu de qualité métallurgique [1]. Après cette opération, le silicium obtenu a une pureté de 98 %. Il faut donc le purifier encore de plusieurs ordres de grandeur pour obtenir du matériau pour la microélectronique 4) Purification du silicium Il s'agit, en partant du silicium métallurgique de faire une purification chimique. Une des méthodes utilisées consiste à faire une distillation à partir d'un produit, liquide à température ambiante, qui contient le silicium. Une possibilité consiste à fabriquer un halogénure de silicium. De nombreux procédés ont été développés par les différents producteurs mondiaux de silicium basés sur le tétrachlorosilane (SiCl4), c'est le cas de Rhône-Poulenc, Westinghouse, Texas, Saint Gobain, le dichlorosilane (SiH2Cl2) mis au point par Wacker, ou le trichlorosilane (SiHCl3) par Siemens ou Union Carbide. D'autres techniques sont basées sur le tétrafluorosilane (SiF4) ou le tétraiodure de silicium (SiI4). L'exemple choisi concerne la fabrication du trichlorosilane par pulvérisation du silicium réagissant avec le gaz de chlorure d'hydrogène (HCl ou acide chlorhydrique) suivant la réaction : Si (solide) + 3HCl (gaz) ——300°C—— > SiHCl3 (gaz) + H2 (gaz) La réaction avec le chlore permet une première purification puisque par exemple des précipités chlorés de métaux ne sont pas mélangés au trichlorosilane. Une distillation permet alors une purification supérieure.
  • 4. 4 Le trichlorosilane purifié est ensuite réduit pour redonner du silicium dans un réacteur présenté figure I.3. La réaction chimique bilan est la suivante : SiHCl3 (gaz) + H2 (gaz) ——> Si (solide) + 3HCl (gaz) Figure I.2 : Distillation du composé de silicium chloré. Après distillation, le réacteur permet d'obtenir le dépôt de silicium. Figure I.3 : Schéma d'un réacteur CVD pour la production de silicium de qualité électronique à partir de SiHCl3 [1]. Le procédé est dans ce cas identique à un dépôt chimique en phase vapeur (CVD). A partir d'un germe dans de très longs tubes, on dépose progressivement le silicium. On obtient des lingots en structure polycristalline jusqu'à 20 cm de diamètre. La pureté obtenue est de l'ordre du ppm, soit des concentrations équivalentes de l'ordre de 1016 cm-3 .
  • 5. 5 Le tableau suivant donne quelques exemples de concentrations résiduelles après purifications des principales impuretés ou éléments dopants. Pour la plupart, elles sont suffisamment faibles pour pouvoir utiliser le matériau en microélectronique à condition de fabriquer du cristal. C'est à partir de ce silicium polycristallin que l'on doit fabriquer le monocristal. Impureté MGS (ppm) EGS (ppm) Al 1570 - B 44 <0,001 Fe 2070 4 P 28 <0,002 Sb - 0,001 Au - 0,00007 Comparaison de la concentration relative de quelques impuretés ou dopants dans le silicium avant et après purification [2].
  • 6. 6 II- Fabrication des plaquettes La fabrication des plaquettes à partir du silicium purifié nécessite un grand nombre d'étapes que nous allons détailler. Il faudra tout d'abord réaliser un cristal, sous forme de lingot qui sera ensuite découpé en rondelles pour constituer les plaquettes. Nous allons voir qu'un grand nombre de précautions est nécessaire. 1) charge de polysilicium le plus pur possible : Le silicium purifié est utilisé pour constituer la charge à introduire dans le réacteur de croissance. Le problème provient de la pureté chimique qui, malgré sa grande valeur, reste encore faible en égard au dopage. Ce cristal de silicium comporte 5.1022 atomes par cm3 . Avec une pureté de 0,999999(difficilement accessible) le dopage non intentionnel équivalent peut être de 5.1016 cm-3 . Il faudra ainsi faire mieux dans la suite ! 2) préparation de la charge à fondre et à transformer en lingot : Cette charge peut être dopée si l'on désire des plaquettes dopées (substrats dopés dans les procédés). On rajoute ainsi, en proportion calculée, la quantité relative de dopant dans l'ensemble de la charge. 3) tirage et croissance du cristal : La charge, mise dans un creuset en graphite, est fondue. A partir d'un germe, on effectue un tirage progressif du lingot moyennant des conditions très précises (températures du creuset de charge fondue, de l'enceinte, gradient de température, transfert de chaleur, vitesse de tirage du germe, etc.). On obtient des lingots de différents diamètres suivant la vitesse de tirage. Cette méthode de tirage est appelée "Czochralski". Notons que la différence de vitesse de diffusion des espèces entre la phase liquide et la phase solide entraîne une modification de la concentration relative des espèces le long du lingot. C'est en particulier vrai pour la concentration de dopant qui implique que la résistivité ne sera pas constante le long du lingot, toute chose égale par ailleurs. L'évolution de la dimension des lingots a été liée à l'évolution de la maîtrise des procédés et des équipements associés avec comme but l'augmentation du rendement de fabrication et la diminution des coûts de production par circuit intégré réalisé. Ainsi, en moins de 30 ans, le diamètre des plaquettes a varié dans un rapport 10.
  • 7. 7 Tableau II.1 : Evolution de la taille des substrats de silicium depuis le début des circuits intégrés Figure II.1 : Tirage d'un lingot par la technique Czochralski. Le diamètre du lingot est lié aux paramètres physiques en cours de tirage. 1964 1969 1974 1978 1982 1985 1990 1998 25 mm 50 mm 75 mm 100 mm 125 mm 150 mm 200 mm 300 mm
  • 8. 8 Une autre technique peut être employée pour réaliser des lingots de grande dimension. Elle consiste à partir d'un lingot de silicium polycristallin obtenu dans le réacteur de réduction du trichlorosilane. La cristallisation est obtenue en pilotant la croissance à partir d'un germe fixé en extrémité de lingot par le déplacement d'une zone fondue (figure 2). Cette zone est chauffée en limite de fusion du silicium grâce à l'alimentation d'une bobine parcourue par un courant haute fréquence (courant de Foucault). Cette méthode est aussi utilisée pour purifier un lingot ; on exploite en effet les phénomènes de diffusion des impuretés à haute température dans un solide. Dans ce cas, on peut monter jusqu'à 3 bobines autour du lingot qui sont parcourues par un courant HF. C'est un moyen pour obtenir des lingots de faible dopage résiduel notamment nécessaires pour la réalisation de composants de puissance (zones quasi- intrinsèques permettant la tenue en tension). Figure II.2 : Cristallisation du lingot et purification par la méthode de fusion de zone. Une autre technique peut être utilisée pour le tirage des lingots. Dans le cas de composés semi-conducteurs III-V, on peut réaliser le lingot dans un bac parallélépipédique dont le fond est arrondi. La cristallisation s'effectue progressivement depuis une extrémité ; c'est la méthode dite "Bridgman".
  • 9. 9 Figure II.3 : Tirage d'un lingot par la méthode Bridgman. Cette technique est plus spécialement utilisée pour les lingots de composés III-V tel que le GaAs. 4) équeutage du lingot : Cette opération consiste à éliminer les extrémités du lingot soit mal cristallisées soit riches en impuretés (surtout si la technique de croissance a été la fusion de zones ). 5) contrôle de résistivités sur les extrémités du lingot : En raison de la variation de la concentration d'impuretés lors tirage du lingot, la résistivité finale varie en fonction de la position. Une vérification des spécifications (gamme de variation) est nécessaire. La technique employée est du type mesure quatre pointes. 6) polissage cylindrique : Lors du tirage, le diamètre du lingot varie légèrement ce qui constitue des ondulations à sa surface. Pour obtenir des plaquettes de même diamètre un polissage cylindrique est nécessaire. 7) repérage cristallographique du lingot par méthode de diffraction des rayons X : Il sera nécessaire de connaître les orientations cristallographiques afin d'effectuer en fin de fabrication la découpe suivant les axes cristallographiques. Après repérage, on crée un méplat servant de référence (plan 100 par exemple). 8) polissage d'un méplat de référence cristallographique et géométrique : Cette référence servira au cours du procédé (orientation des zones de conduction par rapport aux axes du cristal, repérage des motifs lors de la photolithographie, axes cristallographiques pour la découpe des puces). Par ailleurs, suivant le type de dopage de substrat et son orientation cristallographique, on fera des repères supplémentaires. Notons que sur les plaquettes de 200 mm, seul subsiste un repérage cristallographique par une petite encoche ; la nature du substrat est indiquée dans le marquage (effectué par laser).
  • 10. 10 Figure II 4 : Méplats d'identification des plaquettes. On peut facilement repérer les types n des types p et leurs orientations 9) découpage des plaquettes : Ce découpage s'effectue à l'aide d'une scie diamantée de 400 µm d'épaisseur environ pour les lingots de diamètre important. Des techniques de coupes par scie à fil commencent à être utilisées chez de nombreux fabricants. Dans ce dernier cas, les pertes de coupes sont plus faibles. plaquette environ 400 µm à 600 µm d'épaisseur brute de sciage Compte tenu de l'équeutage et du polissage 50% à 60% du lingot est éliminé. En pratique, les plaquettes de dimension supérieure à 150 mm, font au moins 500 µm d'épaisseur en cours de procédé afin d'éviter une fragilité mécanique. Cette épaisseur est réduite à 250 - 300 µm avant découpage (étape d'amincissement) afin de faciliter les échanges thermiques (voire électriques) avec le support de puce dans les boîtiers. 10) traitement thermique : Le sciage crée des contraintes mécaniques dans le cristal. Il faut relaxer le cristal par une montée en température (recuit) à des températures de l'ordre de 600°C à 700°C. A ces températures, les énergies propres des atomes sont suffisantes pour leur permettre de se repositionner dans les sites cristallins. Ce traitement thermique présente aussi l'avantage de diminuer l'effet des atomes oxygène (type donneur) ainsi que de stabiliser la résistivité. 11) polissage des bords : Après sciage, des défauts subsistent sur la périphérie des plaquettes. Il faut l'éliminer. Par ailleurs, pour faciliter la manipulation des plaquettes en cours de procédé, on arrondit le champ. Cela permet de ne pas dégrader les dispositifs de prises et de supprimer des amorces de cassures. 12) tri des plaquettes en fonction des épaisseurs : Les épaisseurs après découpe pourront être sensiblement différentes. Il faut donc limiter la quantité de matière à éliminer par le polissage (le tri se fait par gamme de 10 µm par exemple). 13) enrobage des plaquettes dans une mixture d'alumine en solution - polissage : Afin d'améliorer l'état de surface des plaquettes, ces dernières subissent un polissage à l'aide d'une mixture contenant des grains d'alumine de dimension micronique.
  • 11. 11 14) nettoyage : Cette étape consiste à éliminer les composés abrasifs et les contaminants par des solvants et de l'eau désionisée. 15) attaque chimique des plaquettes : Lors des différentes étapes, il s'est formé, en surface des plaquettes, un oxyde natif contenant des impuretés. Ces impuretés sont soit métalliques et sont éliminées par une solution acide, soit organiques et éliminées par une solution basique : - solutions acides : HNO3, CH3COOH, - solutions basiques : KOH. On n'utilise pas de soude (NaOH) car le sodium est un polluant violent pour les oxydes, plus particulièrement pour les oxydes de grille des transistors MOS. L'oxyde natif est finalement attaqué par une solution d'acide fluorhydrique (HF). On obtient alors une surface chimiquement neutre avant un polissage "miroir". 16) endommagement de la face arrière : Le partie utile de la plaquette se trouve très près de la surface supérieure. Il va s'agir de rendre cette zone la plus pure possible aussi bien au niveau des impuretés (atomes étrangers) que des défauts cristallographiques ou structuraux (macles, dislocations, etc.). On va alors créer des défauts sur la face arrière par sablage ou bombardement LASER, ce qui provoque un dépolissage. Lors des traitements thermiques ultérieurs, les impuretés du côté de la face active vont migrer dans le substrat et être piégées par des états plus favorables énergiquement créés par les dommages de la face arrière. On appelle ce phénomène, l'effet "getter". Figure II.5 : La face arrière est endommagée. Elle perd son aspect miroir. Cette opération permet d'améliorer la zone active très proche de la face supérieure. 17) tri en fonction des épaisseurs : Après dépolissage de la face arrière, les épaisseurs ont varié. On effectue donc un nouveau tri par gamme d'épaisseur. 18) préparation au polissage final de la face active : Les plaquettes sont montées dans des polisseuses. Elle est constituée de satellites qui tournent sur eux-mêmes afin d'améliorer l'homogénéité de polissage des plaquettes.
  • 12. 12 Figure II.6 : Plaquettes montées sur une polisseuse. 19) élimination de l'excès d'épaisseur : 25 µm environ sont éliminés. 20) polissage final "miroir" Ce polissage peut être mécanique ou mécano-chimique qui combine à la fois les effets mécaniques et chimiques. Il faut éliminer les rayures, les peaux d'orange. Cette opération est effectuée à l'aide d'une machine identique à celle de la figure 6, mais avec des disques de polissage peu agressifs et une solution abrasive à très petits grains (diamètre de 0,1 à 0,5 µm) 21) démontage, nettoyage, tri : Ces dernières opérations permettent : - le démontage des plaquettes des supports de polissage, - le nettoyage final, - le tri final en fonction des épaisseurs. 22) test de résistivité des plaquettes , tri final en fonction des résistivités : Afin de sélectionner les lots pour les clients, un tri en fonction de la résistivité est effectué. 23) repérage - marquage : Un marquage de lots, lingots, date, etc.. , est réalisable à l'aide d'un faisceau laser. Cela permet de suivre la plaquette tout au long des étapes de fabrication.
  • 13. 13 24) nettoyage final en salle blanche : Pour démarrer l'ensemble des étapes du procédé de fabrication des circuits intégrés, il ne faut pas de graisse, pas de poussières, etc. Un nettoyage final est nécessaire. 25) inspection visuelle : C'est l'être humain qui reste à l'heure actuelle le meilleur inspecteur. Il détecte des variations de couleur, des rainures, des rayures, des poussières de dimensions inférieures au micron. Un système automatique à balayage optique sur toute la plaquette ne serait pas encore suffisamment performant. Prenons, par exemple, une surface de 10 cm x 10 cm de côtés à contrôler. Il faudra balayer cette surface par zone de mesure (ou "point" de mesure), qui sont de 0,05 µm de coté, pour simplifier. Un point de 0,05 µm x 0,05 µm nécessite au moins 1 µs de temps de traitement (analyse spectrale par exemple). Dans ce cas, le temps total d'analyse est: C'est un temps énorme et donc peu réaliste. En fait, dans ce cas l’œil humain est beaucoup plus efficace car il traite l'information en parallèle grâce à des millions de cellules rétiniennes ! 26) test de planéité : Ce test est important pour la lithographie. Il existe aujourd'hui des machines à tester la planéité basée sur une mesure optique (déviation d'un faisceau laser). Après l'ensemble de ces étapes, les plaquettes sont prêtes pour subir les étapes de fabrication des circuits intégrés (ou des composants discrets).
  • 14. 14 III- Le dopage Un dopant, dans le domaine des semi-conducteurs, est une impureté ajoutée en petites quantités à un matériau pur afin de modifier ses propriétés de conductivité. Les propriétés des semi-conducteurs sont en grande partie régies par la quantité de porteurs de charge (électrons ou trous) qu'ils contiennent. Les atomes dopants vont se substituer à certains atomes initiaux et ainsi introduire davantage d'électrons ou de trous. Les atomes dopants sont en phase diluée : leur concentration reste négligeable devant celle des atomes du matériau initial. Les dopants peuvent être introduits lors de la phase de fabrication des plaquettes ou dans les différentes étapes de fabrication du composant. Parmi les méthodes de dopage alors utilisées on peut citer essentiellement le dopage par diffusion ou par implantation ionique. A) La diffusion Le phénomène de diffusion est un phénomène très général dans la nature, qui correspond à la tendance à l'étalement d'espèces, particules, atomes ou molécules grâce à une excitation énergétique apportée par la chaleur. Suivant le milieu dans lequel se déplacent ces espèces, l'étalement sera plus ou moins grand. A température ambiante le phénomène de diffusion sera très important dans un milieu gazeux, plus faible dans un milieu liquide et pratiquement nul dans un milieu solide. Pour obtenir un phénomène de diffusion dans un solide ou un cristal, il faudra chauffer le matériau à des températures voisines de 1000°C. Dans la suite, nous nous intéresserons essentiellement aux mécanismes de diffusion dans le milieu solide, sachant qu'il est possible d'utiliser aussi des mécanismes en milieu gazeux voire liquide au niveau des mises en œuvre technologiques de procédés particuliers. Pour mieux comprendre l'aspect macroscopique du phénomène de diffusion, il faut avoir une idée des phénomènes et mécanismes mis en jeu à l'échelle atomique. 1) Mécanisme de diffusion à l'échelle atomique Les mécanismes de diffusion mis en jeu dans un cristal vont dépendre de la nature du cristal et de la nature de l'espèce diffusante. On peut noter que les atomes constituant le cristal peuvent eux-mêmes diffuser ; on parlera alors de mécanisme d'autodiffusion. L'autodiffusion est importante puisqu'elle permet notamment de créer des lacunes dans le réseau cristallin. Figure 1 : Mécanismes de diffusion à l'échelle atomique [2].
  • 15. 15 Comme évoqué ci-dessus, les mécanismes ne seront possibles qu'à la condition que les espèces concernées soient suffisamment excitées (niveau d'énergie suffisant) pour statistiquement franchir les barrières d'énergie que constituent par exemple les barrières de potentiel atomique. Ces barrières de potentiel vont dépendre des mécanismes mis en jeu. Par exemple un petit atome tel que le Bore pourra se "faufiler" entre les mailles du réseau cristallin et nécessitera donc une énergie plus faible pour se déplacer. Les quatre principaux mécanismes recensés sont : - mécanisme lacunaire, correspondant à l'occupation d'une lacune laissée par un atome du réseau cristallin qui peut, lui aussi se mouvoir en laissant des lacunes, - mécanisme interstitiel, correspondant au déplacement des atomes entre les sites cristallins. Ce mécanisme sera prépondérant pour les petits atomes, - mécanisme interstitiel combiné au mécanisme lacunaire. Ce phénomène correspond à un placement en site substitutionnel d'un atome initialement positionné en site interstitiel tout en envoyant en site interstitiel l'atome en site cristallin d'origine. Ce phénomène correspond au "carreau sur place" du joueur de boules qui chasse la boule en lui prenant sa place, - mécanisme de groupe mettant en jeu plusieurs atomes. Ce mécanisme de placement en force est plutôt typique des gros atomes. Ces mécanismes peuvent être modélisés indépendamment mais nécessiteraient des gros moyens de calcul pour simuler l'avancement d'une quantité importante d'atomes dopants dans le cristal. Il est donc plus facile de traiter le problème de façon macroscopique et statistique, ce que nous allons faire dans la suite. 2) Equations de diffusion La première loi de Fick traduit la tendance à l'étalement ; le flux d'atomes est proportionnel au gradient de concentration de ces atomes et s'exprime par : équation dans laquelle D est le coefficient de diffusion. D dépend fortement de la température ; quasi-nul à la température ambiante, ce coefficient est de l'ordre de l'unité en micron carré par heure pour des températures de l'ordre de 1100°C. La deuxième loi à prendre en compte est l'équation de continuité. Dans un élément de volume donné, d'épaisseur dx, si le flux entrant est supérieur au flux sortant, la concentration de l'espèce considérée augmente. Cette équation est aussi utilisée pour les porteurs électrons et trous dans un semi-conducteur mais dans le cas des atomes il n'y a ni génération, ni recombinaison.
  • 16. 16 La combinaison des deux équations précédentes permet d'aboutir à la deuxième loi de Fick suivante : Pour intégrer cette équation différentielle qui comporte une dérivation par rapport au temps et une double dérivation par rapport à l'espace, il faut déterminer 3 conditions particulières (ou limites). Ces conditions vont dépendre du procédé technologique mis en œuvre. 3) Procédés de diffusion Les procédés de diffusion vont dépendre de la nature des sources de dopants. Il existe trois grands types de sources qui permettent de fournir les éléments dopants que l'on doit faire pénétrer dans les substrats. Ces sources sont gazeuses, liquides ou solides. Figure 2 : Diffusion dans un four à partir de sources gazeuses. Les gaz dopants sont entraînés par un gaz porteur neutre, tel que l'azote. Les sources gazeuses sont les gaz tels que l'Arsine, AsH3, la phosphine, PH3 ou le diborane B2H6 (figure 2). Notons qu'il circule en permanence un gaz neutre (azote) afin d'éviter toute pollution par des éléments venant de l'atmosphère ambiante. Cet azote doit être très pur afin de ne pas polluer le four.
  • 17. 17 Figure 3 : Diffusion à partir de sources liquides. Les ballons contenant les liquides sont chauffés pour vaporiser le liquide afin de le faire pénétrer dans le four. Bien qu'a priori ces gaz soient simples à mettre en œuvre, ils sont par contre très dangereux pour l'homme. On leur préfère des sources liquides telles que POCl3 ou BBr3 qui sont liquides à température ambiante mais facilement vaporisées pour être introduites dans des fours de diffusion (figure 3). On peut aussi utiliser des sources solides que sont les verres contenant les dopants tels que nitrure de bore ou verre dopé au phosphore. Ces sources se présentent sous forme de plaquettes et sont en général introduites dans le four en alternance avec les plaquettes à doper (figure 4). Ces plaquettes sont activées par une oxydation qui permet de former un oxyde. Dans le cas du Bore, du B2O5 se forme. Ces oxydes ou verres s'évaporent et se déposent sur les plaquettes. Au cours de ce dépôt, une fraction pénètre depuis la surface dans le silicium. Après retrait des sources solides du four, les éléments dopants sont diffusés vers l'intérieur du substrat par une étape thermique (à haute température). En pratique, avant l'étape de diffusion, on élimine le verre déposé par gravure chimique, la quantité de dopant introduite dans le substrat en surface durant le dépôt étant suffisante. Figure 4 : Procédé de dopage à partir de sources solides. Les plaquettes de verre dopé permettent de réaliser un dépôt sur les substrats montés sur une nacelle (ou porte substrats).
  • 18. 18 4) Diffusion à partir de sources gazeuses : Dans le cas des sources gazeuses, la concentration en surface dans le milieu ambiant est constante ce qui signifie qu'en phase solide, en surface la concentration, Cs, est aussi constante. La condition limite s'écrira donc : C(0, t) = Cs (quel que soit le temps en x=0) La deuxième condition est une condition initiale, qui suppose que la concentration de l'espèce à diffuser est initialement nulle (ou négligeable) dans le substrat. Cette condition s'écrit donc : C(x, 0) = 0 (quel que soit x à t=0) La dernière condition est plus intuitive. Elle postule qu'à une distance infinie, la concentration est nulle quel que soit le temps. Cela se conçoit bien si l'on se rappelle que pour que la diffusion se produise, il faut un gradient non nul de concentration. C( , t) = 0 (quel que soit le temps) A partir de ces conditions aux limites, la résolution de l'équation différentielle (2ème loi de Fick) donne : Une représentation graphique de ce profil est donnée figure 5 ; les courbes sont généralement tracées en échelle semi-logarithmique afin de bien apprécier le domaine de variation de concentration. Figure 5 : Diffusion de dopant dans un substrat faiblement dopé de type opposé à partir d'une source de dopant gazeuse. Cs est la concentration en surface.
  • 19. 19 5) Diffusion à partir de sources solides ou de dose en surface : C'est aussi le cas lorsque le dopant a été introduit en surface par implantation ionique (voir plus loin). La quantité totale (ou dose) est constante. C(x, 0) = 0 condition initiale. ( S : dose totale constante) Rappelons que la quantité totale de dopant par unité de surface (ou dose) est constante. De plus : C(x, ) = 0 L'intégration de l'équation différentielle donne : La forme du profil obtenu est gaussienne comme représentée sur la figure 6. Comme la dose totale est constante, lorsqu'il y a étalement, la concentration maximale diminue. C'est ce qui se produit après une étape à haute température. Ajuster les paramètres de dopage (température, durée et type de dopant) et cliquer sur "Ok" pour afficher les courbes: Figure 6 : Evolution du profil de dopage en fonction du temps pour une diffusion à partir d'une dose en surface. Les profils sont gaussiens. L'intégrale sous la courbe (en échelle linéaire) est constante.
  • 20. 20 B) L'implantation : Cette opération consiste à introduire des atomes ionisés projectiles avec suffisamment d'énergie pour pénétrer dans l'échantillon cible (en général une plaquette). Cette pénétration ne s'effectue que dans des régions de surface. Cette opération est essentiellement utilisée pour doper le semiconducteur durant la fabrication des dispositifs (création de zones de source ou de drain d'un transistor MOS, d'une base et d'un émetteur dans un transistor bipolaire, etc.). Les atomes dopants sont en général : B, P, As, In, etc. Les énergies des atomes ionisés peuvent être dans la gamme 3 keV à 500 keV. En fonction de la nature du matériau implanté, de la nature de l'ion accéléré et de l'énergie d'accélération la profondeur moyenne de pénétration peut aller de 100 Å à 1 µm. En effet, un calcul approximatif montre qu'une centaine d'électron-volts est perdue par couche atomique (environ 2,5 Å). 1) Intérêt de cette technique : Elle permet un contrôle précis de la quantité totale d'atomes implantés (dose d'implantation) et du profil de concentration du dopant. Cette précision permet en particulier l'ajustement de la valeur du gain en courant d'un transistor bipolaire ou l'ajustement de la tension de seuil d'un transistor MOS (choix de la nature d'un transistor - à enrichissement ou à appauvrissement). Notons que ce procédé s'effectue sous vide et donc en atmosphère sèche. 2) Inconvénients : Le bombardement d'un monocristal par des atomes crée des dommages dans la structure cristalline implantée. Il y a donc nécessité de restituer la cristallinité du matériau ; ceci est réalisé par un recuit thermique. Ce recuit thermique permet aussi une redistribution des atomes dopants et donc une modification du profil de dopage par phénomène de diffusion. Notons que ce recuit peut aussi permettre l'activation du dopant implanté (passage en site substitutionnel). Cristal avant implantation Cristal après implantation
  • 21. 21 3) L'implanteur : L'implanteur est en pratique un accélérateur d'ions. Il est composé des parties suivantes visibles sur la figure 7 : - génération des ions à partir d'une source solide, liquide ou gazeuse dans un plasma excité à 25kV, - sélection des ions par champ magnétique effectuant le tri par le rapport masse sur charge, - accélération des ions à l'énergie d'implantation souhaitée, - mise en forme du faisceau d'ions par des lentilles électrostatiques, - dispositif de balayage en x et y afin d'implanter de façon uniforme les plaquettes. Figure 7 : Schéma simplifié d'un implanteur ionique. • déviation du faisceau pour éliminer les ions neutralisés sur le parcours et qui ne pourraient être dénombrés, • chambre d'implantation. 4) Profil de concentration : Les ions incidents vont perdre leur énergie par chocs successifs avec les atomes du réseau cristallin. Ceci explique d'une part, la dispersion des trajectoires et d'autre part, que l'on définisse statistiquement une profondeur moyenne de pénétration. La statistique qui convient assez bien est gaussienne. Nous définissons ainsi deux paramètres : - la profondeur moyenne de pénétration (range) : RP, avec un écart type ∆RP. - l'écart moyen latéral (perpendiculaire à la direction d'implantation), ∆R┴
  • 22. 22 Avant Implantation Après Implantation Figure 8 : Mesure de la dose par intégration du courant d'ions sur une surface étalonnée [5]. Notons que si la direction d'incidence est parallèle à un plan réticulaire du réseau, les atomes peuvent effectuer un parcours de pénétration plus important sans choc. Cet effet s'appelle la canalisation. Pour éviter ce phénomène qui est en général mal contrôlé, on crée un angle d'incidence de l'ordre de 7°pour le Silicium. Figure 9 : Statistiquement la profondeur moyenne de pénétration est Rp. Deux écarts types sont à considérer, l'un suivant la direction d'incidence, l'autre perpendiculairement [6]. Statistiquement le profil obtenu est gaussien en première approximation. avec Ф étant la dose implantée
  • 23. 23 Figure 10 : Illustration de profils latéraux après implantation à travers un masque. Les courbes d'isoconcentration sont représentées sur la figure de droite [7].
  • 24. 24 Suivant la nature des ions implantés, on propose des profils plus précis. Pour le phosphore ou l'arsenic, on propose des modèles "double gaussiens" pour lesquels l'écart type est différent avant et après le maximum de concentration. Dans le cas du bore, pour les fortes énergies, le profil s'éloigne fortement de la gaussienne. On peut expliquer simplement cet écart par le fait que l'ion bore, de très petite dimension, peut être rétrodiffusé dans le cristal. On utilise dans ce cas le profil Pearson. La figure 11 montre les profils obtenus suite à une implantation de bore à différentes énergies. L'écart au profil gaussien est important avant les maximums. Figure 11 : Distribution des atomes de Bore implantés dans du Silicium [8].
  • 25. 25 IV - Oxydation du Silicium L'oxydation est une étape très importante dans la réalisation des circuits intégrés au silicium, puisque c'est grâce à cette propriété spécifique que le silicium, qui n'est pas a priori un très bon semi-conducteur, est devenu le matériau le plus utilisé en microélectronique. Cette opération est nécessaire tout au long des procédés modernes de fabrication des circuits intégrés. Il est donc primordial de savoir réaliser un oxyde de bonne qualité. L'oxyde peut servir : - de masque d'implantation ou de diffusion de dopants, - de couche passivante à la surface du silicium, - de zones d'isolation entre différents composants d'une structure intégrée, - de couche active dans les transistors MOS (oxyde de grille), - d'isolation électrique entre des couches adjacentes pour améliorer l'intégration et la diminution des dimensions ("espaceur" par exemple), - d'isolation électrique entre les différents niveaux de métallisation ou de couches conductrices en silicium polycristallin fortement dopé, - de couches sacrificielles permettant d'améliorer les performances et l'intégration des circuits. Ces couches sacrificielles peuvent aussi être utilisées pour fabriquer des microstructures à base de silicium polycristallin et intervenir dans des microsystèmes intégrés (MEMS : micro-electro-mechanical systems). 1) Principe de l'oxydation Il existe plusieurs techniques pour obtenir un oxyde : - l'oxydation thermique en présence d'oxygène, dite oxydation sèche, - l'oxydation thermique par voie humide en présence d'oxygène et de vapeur d'eau, - l'oxydation thermique vapeur en présence de vapeur d'eau uniquement, - l'oxydation anodique, obtenue par voie électrochimique, - l'oxydation plasma, réalisée à l'aide d'un plasma d'oxygène. Notons que nous différentierons dans la suite, l'opération technologique d'oxydation de celle du dépôt d'oxyde qui n'entraîne pas les mêmes contraintes, thermiques notamment. L'opération d'oxydation consiste donc à oxyder le Silicium depuis la surface du substrat. Les réactions principales sont les suivantes : Si solide + O2 −−−> SiO2 solide Si solide + 2 H2O −−−> SiO2 solide+ 2H2 Pour obtenir un oxyde de qualité électronique satisfaisante, on préfère l'oxydation thermique soit avec de l'oxygène, soit en présence de vapeur d'eau. En général, la croissance de l'oxyde avec de l'oxygène pur donne une croissance plus lente de l'oxyde qui lui confère de bonnes propriétés électroniques (peu de défauts électriquement actifs). La croissance avec de l'eau donne une croissance plus rapide
  • 26. 26 mais plus de défauts électriques. Cette méthode sera donc préférée pour réaliser des oxydes épais (quelques milliers d'Angström) de masquage ou d'isolation. Par ailleurs, le Silicium s'oxyde à température ambiante en présence de l'atmosphère (qui contient de l'oxygène); mais dès que la couche d'oxyde atteint 2 ou 3 couches atomiques, le phénomène d'oxydation se bloque. On dit que la couche est passivante. Pour obtenir une oxydation sur une "grande épaisseur", il faudra activer le phénomène par une élévation de température. La couche de Silicium initiale réagit avec l'élément oxydant pour former le SiO2 ; on va ainsi consommer du Silicium. L'interface Si/SiO2 va donc se retrouver "au- dessous" de la surface initiale. Un calcul simple montre que la fraction d'épaisseur située "au-dessous" de la surface initiale représente 46% de l'épaisseur totale de l'oxyde ; la fraction "au-dessus" représente donc 54% (figure 1). Figure 1 : Oxydation du Silicium. Une partie du substrat a été consommée lors de l'oxydation Cette augmentation de volume aura des conséquences importantes sur la planéité de la surface de la plaquette lorsque l'on réalisera des oxydations localisées. En effet, l'augmentation de volume sera locale et créera donc un relief comme représenté fig 2. Figure 2 : Effet d'une oxydation localisée du Silicium. L'augmentation de volume crée un relief à la surface de la plaquette. Les opérations d'oxydations s'effectuent en général dans des fours similaires à ceux de diffusion dans lesquels on fait circuler de l'oxygène, sec ou humide, ou de la vapeur d'eau (figure 3). On peut aussi créer la vapeur d'eau dans le four en effectuant une synthèse à partir d'un flux d'hydrogène et d'un flux d'oxygène. Cette réaction étant très exothermique, elle est donc dangereuse. Le dispositif contient alors un grand nombre de sécurités (détection de flamme, contrôle des débits, etc.) afin d'éviter toute explosion. C'est ce type de réacteur qui est principalement utilisé chez les industriels. Il faut noter que la pureté chimique des gaz employés doit être très bonne (moins de 10ppm d'impuretés totales).
  • 27. 27 Figure 3 : Oxydation thermique avec de l'oxygène ou de la vapeur d'eau. On peut aussi fabriquer la vapeur d'eau à partir d'une torche à hydrogène brûlant en présence d'oxygène 2) Modélisation de l'oxydation Le modèle de base de l'oxydation est représenté sur la figure 4 qui distingue les 3 domaines dans lesquels il faut considérer des mécanismes différents : - l'atmosphère ambiante contenant l'élément oxydant (soit de l'oxygène soit de la vapeur d'eau soit une combinaison de ces deux éléments), - l'oxyde qui est traversé par l'élément oxydant par phénomène de diffusion. Notons que cette diffusion est négligeable à température ambiante mais fortement activée thermiquement, - le Silicium, à la surface duquel se produit la réaction chimique d'oxydation. Le calcul est basé sur l'analyse des flux de l'espèce oxydante dans les différentes zones. Si on raisonne en régime stationnaire, les trois flux sont égaux : F1 = F2 = F3 Figure 4 : Modèle de base pour l'oxydation thermique. On met en oeuvre les 3 flux de l'espèce oxydante en phase gazeuse, dans l'oxyde et à l'interface Si/SiO2 [9].
  • 28. 28 F1 est le flux de l'élément oxydant en phase gazeuse. On a donc une équation de diffusion type 1ère loi de Fick. En appelant CG la concentration dans l'atmosphère, loin de la surface, et CS, la concentration en surface F1 = hG (CG - CS) hG est le coefficient de transfert de masse en phase gazeuse. On peut relier les concentrations CG et CS en fonction des pressions partielles en utilisant la loi des gaz parfaits : CG =PG/kT CS =PS/kT En utilisant la loi de Henry, on peut convertir la pression partielle en surface du cristal en une concentration équivalente à la surface mais du côté matériau solide. CO = H.pS | C* = H.pG H est la constante de Henry et C* est la concentration équivalente que l'on aurait dans le cristal pour une pression partielle dans le gaz. On peut ainsi écrire le flux F1 : F1 = h (C* - Co) h est le coefficient de transfert massique, avec . F2 est le flux de l'élément oxydant dans l'oxyde, qui obéit à la loi de Fick : soit encore F3 est le flux de réaction chimique à l'interface Si/SiO2. ce flux est proportionnel à la concentration de l'espèce oxydante au niveau de l'interface. F3 = ks.Ci A partir de la relation F1 = F2 = F3, on en déduit les coefficients Ci et Co :
  • 29. 29 A partir de ces équations, on peut maintenant évaluer la variation d'épaisseur d'oxyde, donc la croissance, à partir du flux F3. En appelant N1 le nombre d'atomes oxydants par unité de volume, En supposant qu'au temps t = 0, l'épaisseur (initiale) de l'oxyde est di, la résolution de l'équation différentielle du premier ordre ci-dessus se fait simplement en passant le dénominateur du terme de droite au numérateur gauche. On obtient ainsi un élément en do et un élément constant à intégrer en ddo. La solution se présente donc sous la forme : do 2 + A.do = B (t + τ) avec On peut alors exprimer l'épaisseur de l'oxyde, do, en fonction de A, B et τ. On peut simplifier l'expression de do en regardant des situations limites : - pour des temps importants, l'épaisseur varie en racine du temps, c'est-à-dire que la croissance sera de plus en plus lente. Il faudra donc jouer sur la valeur de B en fonction de la température pour avoir des temps raisonnables d'oxydation (de quelques dizaines de minutes à quelques heures maximum). t >> τ do 2 = B.t - pour des temps faibles, l'épaisseur est proportionnelle au temps. La condition est la suivante : (t + τ) << Α2 /4Β do = (B/A).(t + τ) Les coefficients, A, B et τ sont donnés dans les tableaux qui suivent (d'après B.E. Deal & A.S. Grove [9]). Ils dépendent du type de l'oxydation, sèche ou humide, et fortement de la température.
  • 30. 30 Constantes d'oxydation pour une oxydation humide du Silicium Température d'oxydation °C A (µm) B(µm2 /h) B/A (µm/h) τ (heures) 1200 0,050 0,72 14,40 0 1100 0,110 0,510 4,64 0 1000 0,226 0,287 1,27 0 920 0,500 0,203 0,406 0 Constantes d'oxydation pour une oxydation sèche du Silicium Température d'oxydation °C A (µm) B(µm2 /h) B/A (µm/h) τ (heures) 1200 0,040 0,045 1,12 0,0019 1100 0,090 0,027 0,30 0,00693 1000 0,165 0,0117 0,071 0,029 920 0,235 0,0049 0,0208 0,098 800 0,370 0,0011 0,0030 0,687 700 ... ... 0,00026 7,81 Les coefficients intervenant dans ces tableaux varient très fortement en fonction de la température. Comme dans le cas de la diffusion d'atomes dopants dans le cristal, la diffusion des éléments oxydants dans l'oxyde sera thermiquement activée suivant une loi d'Arrhénius. Figure 5 : Effet de la température sur le coefficient d'oxydation parabolique dans les cas d'oxydation sèche, humide et vapeur. La variation suit la loi d'Arrhénius [9].
  • 31. 31 Comme indiqué dans le modèle, pour des temps d'oxydation relativement faibles, la variation d'épaisseur en fonction du temps d'oxydation est pratiquement linéaire. Par ailleurs, pour des oxydations de faibles épaisseurs, on doit tenir compte de la présence de l'oxyde natif ce qui correspond à une ordonnée à l'origine non nulle sur la figure 6. Figure 6 : Epaisseur d'oxyde obtenu par oxydation sèche à 780, 890 et 980 °C. La variation est pratiquement linéaire pour les faibles temps d'oxydation. La réaction d'oxydation à l'interface tient compte du nombre d'atomes disponibles pour la réaction chimique. Lorsque les plans sont plus denses, la vitesse d'oxydation est légèrement supérieure. C'est le cas de l'oxydation d'un substrat orienté (111) comparé à celui orienté (100). Figure 7 : Effet de l'orientation cristalline sur la croissance d'oxyde. Le substrat orienté <111> a une vitesse d'oxydation supérieure [10].
  • 32. 32 V – Les dépôts 1) Introduction : Cette opération est nécessaire lorsqu'il faut réaliser une couche conductrice, isolante ou de masquage dans un procédé qui n'utilise pas directement le matériau du substrat. Par exemple, dans le cas où l'on souhaite obtenir un oxyde de silicium lorsque le substrat et/ou la couche sous-jacente ne sont pas constitués de Silicium (celle-ci peut être par exemple une couche métallique ou une couche de nitrure de Silicium), la seule solution consiste à déposer de l'oxyde (ou un autre type d'isolant). En effet, l'oxydation thermique n'est plus possible et il faut effectuer un dépôt. Celui-ci s'effectue dans un four ou un bâti qui comprend tous les constituants de la couche à réaliser. Les techniques de dépôt qui seront utilisées pour déposer une couche d'isolant ou d'oxyde seront le plus souvent très générales en permettant la réalisation de couches d'autres matériaux tels que des métaux voire des semi-conducteurs. Plusieurs techniques de dépôt sont possibles industriellement: 2) Evaporation thermique : La technique d'évaporation thermique est très simple et consiste simplement à chauffer par effet Joule un matériau qui, vaporisé, va se déposer sur les substrats. La charge du matériau à déposer est placée dans un creuset (en tungstène). Cette technique est applicable notamment pour le dépôt d'aluminium, la température d'évaporation de ce métal étant inférieure à la température de fusion du creuset (en tungstène). La figure 1 montre le principe de cette technique ; Afin d'améliorer l'homogénéité des couches déposées (très faible variations d'épaisseur), on déplace en permanence les substrats. Dans la cas du bâti ci-dessous, le porte substrat est tournant. Figure 1 : Bâti de dépôt par évaporation thermique. Le creuset contenant la charge du matériau à déposer est chauffé par effet Joule.
  • 33. 33 Afin de contrôler l'épaisseur des couches déposées, on utilise une balance à quartz. Le principe de celle-ci consiste à détecter la dérive de la fréquence d'oscillation du quartz par la modification de sa masse lors de la croissance de la couche déposée (le dépôt s'effectue aussi sur le quartz). C'est donc une mesure électrique qu'il faut bien évidemment étalonner. A chaque début d'expérience, la fréquence de référence est redéfinie. En mesurant le décalage de fréquence en fonction du temps, on peut aussi déterminer la vitesse de croissance des couches déposées. 3) Dépôts par pulvérisation cathodique: La pulvérisation cathodique consiste à bombarder une cible par des ions, à arracher les ions de la cible et à les envoyer se déposer sur la substrat. Dans le cas de la figure 2, montrant de façon simplifié le principe de cette technique, les ions argon, créés par l'excitation haute tension, arrachent de la cible les composés à déposer sur la surface des substrats. Figure 2 : Bâti de dépôt par pulvérisation cathodique. Les ions Argon, créés par l'excitation haute tension, arrachent de la cible les composés à déposer sur la surface des substrats. 4) canon à électrons La technique du canon à électron consiste à apporter suffisamment d'énergie (très concentrée) sur un matériau souvent réfractaire à l'aide d'un faisceau d'électrons focalisé. Les électrons sont créés par effet thermoélectrique (chauffage d'un filament) et leur trajectoire focalisée grâce à l'action conjuguée d'une différence de potentiel électrique et d'un champ magnétique. Ce dernier incurve la trajectoire du faisceau pour focaliser celui-ci sur la cible. La figure 3 montre de façon simplifiée le principe de cette technique. Notons, qu'afin d'améliorer l'homogénéité des couches déposées, il est souhaitable de déplacer en permanence les substrats. Sur la figure 3, on peut remarquer que le porte substrat est tournant. Les techniques de pulvérisation cathodique et canon à électrons, de par leur principe, permettent d'effectuer des dépôts de couches isolantes mais aussi de couches métalliques (aluminium, tungstène, titane, chrome, etc.). Elles interviendront donc principalement pour la réalisation de couches d'interconnexion dans les dispositifs intégrés.
  • 34. 34 Figure 3 : Bâti de dépôt par canon à électrons. Le filament chauffé sert de source d'électrons. Les électrons sont déviés par le champ magnétique et envoyés sur la charge à vaporiser. 5) Dépôts chimiques en phase vapeur (CVD) : Les techniques CVD (Chemical Vapor deposition) permettent quant à elles de faire croître des couches d'isolants ou de Silicium polycristallin. Elles s'effectuent en général dans un four dans lequel on introduit les espèces réactantes. Suivant les valeurs de la pression de dépôt, on modifie la qualité des couches (propriétés structurales et électriques). Les techniques à basse pression (Low Pressure) (L.P.C.V.D.) permettent de déposer du silicium polycristallin, dont l'utilisation dans les procédés technologiques a permis une nette amélioration de l'intégration (autoalignement des grilles de transistor MOS ou des émetteurs des transistors bipolaires, interconnexions, sources de dopant, etc..). Dans le cas de couches isolantes, pour améliorer l'efficacité de la réaction chimique dans le four, on peut activer les molécules mises en jeu par une source radiofréquence dont la fréquence est industriellement fixée à 13,56 MHz, valeur autorisée par l'Administration des Télécommunications. On intitule cette technique P.E.C.V.D (Plasma enhanced CVD). 6) Dépôts chimiques en phase vapeur à basse pression (LPCVD) : Comme évoquée ci-dessus, la technique LPCVD consiste à réaliser un dépôt chimique en phase vapeur à basse pression. Ce dépôt s'effectue normalement dans un four à mur chaud à des températures de l'ordre de 500 à 600°C. On injecte les gaz qui réagissent et qui synthétisent le matériau à déposer. Dans l'exemple de la figure 4, le dépôt réalisé est du silicium polycristallin (ou polysilicium) dopé au phosphore. Lorsque le matériau est dopé au cours de son élaboration, on dit qu'il est dopé in-situ. Pour ce type de dépôts les paramètres les plus importants sont la pression, la température et le type de gaz dopant utilisé. De ces paramètres, dépend la morphologie du matériau qui conditionne aussi les propriétés électriques. Les résultats récents sur ces techniques ont montré qu'il était préférable de déposer le matériau silicium sous forme amorphe (température de dépôt autour de 550°C) et de crista lliser ensuite la couche ainsi formée par un recuit thermique, soit conventionnel, soit rapide, notamment à l'aide d'un laser.
  • 35. 35 Figure 4 : Réacteur LPCVD pour déposer du polysilicium dopé au phosphore [14] et [15]. 7) Dépôt chimique en phase vapeur assistés plasma (PECVD) : Le dépôt PECVD est donc fondé sur la création d'espèces ou d'éléments à déposer à basse température grâce à l'apport d'énergie sous forme électromagnétique (source radiofréquence en général). Cette technique évite donc des passages à haute température qui peut entraîner une redistribution des dopants par exemple. Toutefois, afin d'améliorer la qualité du matériau des couches déposées, il est nécessaire de chauffer "légèrement" les substrats (quelques centaines de degrés éventuellement). Industriellement, deux types de four sont proposés, leurs schémas de principe étant représentés sur les figures 5 et 6: - four à platine porte-substrat horizontale, - four à "mur chaud". Figure 6 : Schéma d'un réacteur plasma à mur chaud. C'est en réalité un four comportant 3 zones de chauffe dans lequel on réalise un plasma à l'aide de la source radiofréquence [2]. Figure 5 : Réacteur plasma à platine porte- Substrats horizontale [2].
  • 36. 36 Le deuxième type de réacteur est préféré industriellement car il évite d'éventuels "redépôts", sur les plaquettes, de particules initialement déposées sur les parois comme dans le cas du premier réacteur. De plus en prenant une structure interdigitée pour les électrodes, on peut traiter simultanément une cinquantaine de substrats (figure 7). A l'aide de ces réacteurs, de façon classique, les couches isolantes réalisées sont: - de l'oxyde de silicium, SiO2, - du nitrure de silicium, Si3N4. Le SiO2 ainsi obtenu n'est pas électriquement acceptable pour réaliser des grilles de transistors MOS, mais par contre peut servir de couches de masquage avant implantation ionique ou de couches isolantes entre différents niveaux conducteurs (aluminium, polysilicium, siliciure de tungstène, etc..). Figure 7 : vue de dessus schématique de la structure interdigitée des électrodes dans le réacteur plasma à mur chaud substrats verticaux. Par cette méthode un grand nombre de substrats peuvent être traités simultanément. Le Si3N4 sert essentiellement de couche de masquage d'oxydation. En effet, le nitrure constitue une barrière de diffusion pour les éléments oxydants tels que l'oxygène. L'utilisation d'une telle couche permet d'effectuer, après photolithogravure, des oxydations sélectives sur le substrat LOCOS (Local oxidation of silicon). Nous verrons que cela permet en particulier de réaliser des "oxydes de champ" qui constituent des barrières isolantes entre les différents transistors du circuit intégré. Figure 8 : Réalisation d'un oxyde localisé (LOCOS). La couche de Si3N4 sert de barrière de diffusion à l'élément oxydant.
  • 37. 37 VI- Epitaxie L'épitaxie est une étape technologique consistant à faire croître du cristal sur du cristal. Etymologiquement, "épi" signifie "sur" et "taxis", "arrangement". La technique va donc consister à utiliser le substrat comme modèle cristallin de croissance (germe) et à faire croître la couche par un apport d'éléments constituant la nouvelle couche. La couche épitaxiée peut être dopée ou non dopée. On parlera, dans le cas où : - les matériaux sont identiques, d'homoépitaxie ; par exemple, épitaxie d'une couche n- sur une couche n+, impliquée dans la jonction collecteur-base d'un transistor bipolaire permettant une meilleure tenue en tension de cette jonction polarisée en inverse. - les matériaux sont différents, d'hétéroépitaxie ; par exemple croissance d'une couche de GaxAl1-xAs sur une couche de GaAs ; cet assemblage permet la fabrication de super-réseaux ou de couches à forte mobilité (transistors rapides). Dans le cas de l'hétéroépitaxie, le désaccord de réseau (mismatch en anglais), c'est-à-dire la différence entre les paramètres de maille du substrat et ceux du cristal déposé, peut entraîner des défauts dans la structure de ce dernier. Dans un premier temps, les atomes déposés s'adaptent à la structure cristalline du substrat. Mais lorsque l'épaisseur du cristal augmente, les propriétés chimiques de ses éléments l'emportent sur le substrat : les atomes choisissent la structure du matériau pur, ce qui déforme le cristal et entraîne la création de dislocations. Il existe plusieurs techniques d'épitaxie : • Épitaxie en phase solide Épitaxie en phase solide (SPE) est une transition entre les phases amorphe et cristalline d'un matériau. Généralement on procède d'abord à un dépôt d'une couche de matériau amorphe sur un substrat cristallin. Le substrat est ensuite chauffé pour cristalliser le film. Le substrat monocristallin sert de germe pour la croissance des cristaux. L'étape de recuit utilisé aussi pour recristalliser (ou guérir) des couches de silicium amorphe lors de l'implantation ionique, est également considéré comme un type d’épitaxie en phase solide. La ségrégation des impuretés et leur redistribution à l'interface cristal/amorphe est de plus en plus utilisée au cours de ce processus pour incorporer les dopants à faible solubilité dans le Silicium. • Epitaxie en phase liquide L'épitaxie en phase liquide utilise le principe de la méthode de Czochralski. Le substrat est mis en contact avec une phase liquide sursaturée en l'élément voulu, qui se précipite et cristallise sur le substrat. Cette technique a l'avantage d'être rapide, mais elle est moins précise que les épitaxies en phase vapeur. Épitaxie en phase liquide (LPE) est une méthode permettant la croissance de couches minces monocristallines sur des substrats solides monocristallins. Cela se produit à des températures juste en dessous du point de fusion du semi-conducteur. Dans ces conditions, la déposition du cristal semi-conducteur sur le substrat est lente et uniforme. La vitesse de dépôt typique
  • 38. 38 des films monocristallins est de 0,1 à 1 µm/minute. Le dopage peut être obtenue par l'ajout d’impuretés adéquates. La méthode est principalement utilisée pour la croissance des semi-conducteurs composés. Des couches très minces uniformes de qualité élevée peuvent être produites. Un exemple typique de la méthode d'épitaxie en phase liquide est la croissance de composés III-V ternaires et quartenaires sur substrats d’arséniure de gallium (GaAs). Un autre substrat fréquemment utilisé est le phosphure d'indium (InP). Pour éviter les contraintes dans la couche déposée, les coefficients de dilatation thermique du substrat et la couche épitaxiée doivent être similaires. • Épitaxie par jet moléculaire La croissance s'effectue sous ultra vide (typiquement 10-8 Pa). Les éléments à déposer, contenus dans des creusets à haute température, sont évaporés et vont se déposer par transport thermique sur la surface du substrat, plus froide mais de température quand même assez élevée pour permettre le déplacement et le réarrangement des atomes. Cette technique est largement utilisée pour la croissance de cristaux semi-conducteurs III-V. Le processus d'épitaxie en phase vapeur est très lent et très coûteux.
  • 39. 39 VII- La gravure 1) Présentation Deux techniques sont couramment utilisées: - la gravure par voie humide, - la gravure sèche. Ces deux types de gravure interviennent de nombreuses fois au cours des procédés modernes. Elles permettent de graver de façon sélective, des couches ou des films afin de créer des motifs (zone actives de dispositifs, grille de transistors, pistes d'interconnexion, etc.). Nous verrons dans les opérations de photolithogravure, qu'en utilisant une résine photosensible on peut protéger des zones. La gravure ne doit donc concerner que les zones non protégées. 2) La gravure humide : La gravure par voie humide se fait par attaque chimique en solution aqueuse (bain contenant de l'eau). Par exemple, l'oxyde de silicium est gravé par une solution partiellement diluée d'acide fluorhydrique (HF) tamponnée par du fluorure d'ammonium (NH4F). Suivant les concentrations de l'espèce réactante, on étalonne les vitesses de gravure pour un type de couche. En général, par voie humide, la couche est attaquée de façon équivalente suivant toutes les directions de l'espace. On dit que la gravure est isotropique. Dans de très nombreuses filières technologiques, la gravure humide est utilisée majoritairement car elle est relativement simple à mettre en oeuvre et que dans des grands bacs, un lot complet pouvant contenir jusqu'à 200 plaquettes peut être traité en une seule opération. Figure 1 : Gravure de plaquettes par voie humide.
  • 40. 40 Cela constitue un gain de temps considérable. Par contre, il faut après traitement chimique rincer abondamment et sécher les plaquettes. Durant le rinçage à l'eau désionisée, la résistivité de l'eau est contrôlée afin de déterminer la quantité d'ions contaminants encore désorbés par les plaquettes. Lorsque la résistivité devient supérieure à 16 M cm, on s'approche de la résistivité de l'eau ultra pure (18 M cm) et on peut arrêter le rinçage. Le séchage s'effectue dans de très grandes centrifugeuses ou par soufflette d'azote ou d'air sec. La gravure humide présente aussi d'autres inconvénients, à savoir : - la gravure est isotropique (toutes les directions de l'espace) ce qui crée des attaques latérales notamment dans les zones protégées par la résine, - la vitesse de gravure dépend de la concentration et du type d'impureté que contient le film à graver. Cette vitesse de gravure dépend de la quantité des substrats traités, l'efficacité d'attaque diminuant après plusieurs lots, - le point de fin de gravure est difficilement contrôlé. Cela peut entraîner une surgravure latérale ou verticale dans le cas d'une faible sélectivité. Les solutions les plus couramment utilisées en fonction de la nature des couches à graver sont les suivantes : - silicium polycristallin: HNO3 + HF - silicium monocristallin: Hydrazine N2H4 (65%) + H2O (35%) - dioxyde de silicium : HF + NH4F + H2O - nitrure de silicium : H3PO4 - aluminium : H3PO4 + HNO3 + acide acétique + H20 En fonction des concentrations relatives des différents éléments les solutions auront une vitesse d'attaque plus ou moins importante. Dans le cas de la gravure d'un oxyde recouvrant du silicium monocristallin, la fin de gravure est détectée grâce au phénomène d'hydrophobie ; le liquide a tendance à quitter la surface de la plaquette alors qu'en présence d'oxyde toute la surface est mouillée. Ce critère permet aussi de vérifier l'homogénéité d'épaisseur des couches et/ou d'attaque. III) La gravure sèche : La gravure sèche est en réalité une technique de gravure plasma dans laquelle interviennent à la fois les effets de bombardement par des ions et la réaction chimique. On la dénomme R.I.E. (Reactive Ion Etching). Le réacteur ressemble au réacteur de dépôt à platine porte-substrats horizontale, mais les gaz injectés sont dans ce cas destinés à graver la couche de surface (fig 2). De la même façon, on utilise un générateur radiofréquence qui va permettre de générer dans le réacteur les espèces réactives.
  • 41. 41 Sans polarisation particulière des électrodes, l'attaque est en général isotropique, c'est-à-dire identique suivant toute les directions. Cependant, lorsque les matériaux à graver ont des orientations préférentielles, c'est le cas des cristaux semiconducteurs, la gravure peut se faire préférentiellement suivant des plans réticulaires ou axes cristallographiques. Le réacteur est en général équipé d'un système de contrôle de gravure ou plus exactement de fin de gravure. Il s'agit d'un interféromètre à laser dont la période du signal détecté change lors d'un changement d'espèces gravées. Figure 2 : Réacteur de gravure plasma à platine porte-substrats horizontale [5]. Figure 3 : Schéma de principe de la réaction de gravure plasma. Pour que la gravure soit efficace, il faut éliminer les produits de réaction. Le principe du procédé, représenté figure 3, est résumé comme suit : - génération dans le plasma des espèces pouvant attaquer chimiquement la couche, - transfert des espèces réactives depuis le plasma vers la surface de la couche à graver, - adsorption de l'espèce attaquante à la surface,
  • 42. 42 - réaction avec le matériau de surface. Le matériau produit par la réaction doit être volatile pour pouvoir quitter la surface, - désorption du produit de réaction, - diffusion dans l'environnement gazeux. Si toutes ces conditions sont remplies, on peut alors espérer avoir une bonne gravure plasma. Notons que pour la mise au point de cette étape technologique, la difficulté est de générer des espèces volatiles après réaction en surface. Figure 4 : Vitesse de gravure et sélectivité pour une gravure plasma utilisant du tétrafluorure de carbone dilué dans de l'hydrogène [12]. Suivant les espèces introduites dans le réacteur et suivant la nature de la couche à graver la vitesse d'attaque sera différente. Il faudra jouer sur la différence des vitesses pour s'assurer d'un bon contrôle de la gravure. La précision notamment sur la fin de gravure sera d'autant meilleure que la sélectivité sera importante. En effet, il faut pouvoir graver, par exemple, un oxyde sans pour autant éliminer la couche sous-jacente qui peut être, par exemple, la source d'un transistor. On peut aussi éliminer la couche de silicium polycristallin sur un oxyde. En réglant la proportion des gaz réactifs, on ajuste la sélectivité de la gravure du SiO2 par rapport au polysilicium. Un réglage astucieux entre l'énergie des ions incidents issus du plasma et le flux des ions chimiquement actifs permet d'obtenir des gravures soit isotropiques soit anisotropiques (figure 5). En effet, en accélérant les ions par une polarisation continue entre les deux électrodes, on peut accélérer les ions réactifs en leur donnant une orientation préférentielle en direction de la surface. La gravure est alors anisotropique. La figure5 montre l'anisotropie obtenue en ajoutant un bombardement d'ions qui augmente la vitesse de gravure verticale. On joue ainsi sur la compétition entre le bombardement ionique et l'attaque chimique.
  • 43. 43 Figure 5 : Vitesse de gravure verticale et horizontale. Ce type de gravure est particulièrement intéressant dans le cas où l'on veut réaliser des espaceurs de très faible dimension dans les technologies autoalignées. Ce qui peut représenter, a priori, un inconvénient, est exploité astucieusement, pour aller au-delà des limites optiques en précision des motifs (figure 6). En effet, du fait que l'on contrôle parfaitement bien la croissance et donc l'épaisseur des couches, on peut créer des résidus ou espaceurs de largeur très faible, en rapport avec les épaisseurs. On peut ainsi créer des nouveaux "masques d'implantation" dont l'ouverture est par exemple de 0,2 micron plus large ou plus étroit que le préexistant. Cela sera notamment utilisé pour fabriquer des transistors submicroniques à drain doublement dopé (deux zones de dopage différents). Figure 6 : réalisation d'espaceurs par utilisation d'une gravure anisotropique [5] Les principaux gaz utilisés dans les gravures sèches sont indiqués dans le tableau suivant : Matériau à graver Silicium SiO2 Siliciure SF6 CHF3 CFCl3 CF4 + O2 CF4 + O2 CF2Cl2 HF CF4 + H2 CCl4 gaz CFCl3 SiCl4 SF6
  • 44. 44 En pratique, la différence entre gravure isotropique et anisotropique peut être représentée sur la figure 7. Il faudra tenir compte de la gravure latérale plus importante dans le cas de la gravure humide. Il est clair que pour les motifs de très petite dimension, il sera préféré une gravure anisotropique. Figure 7 : Différence entre gravure isotropique et anisotropique. La largeur du motif gravé sera différente. 4) Comparaison des propriétés des gravures humide et plasma : Dans le tableau suivant les deux types d'étapes sont comparées ; il met en évidence les points forts et les points faibles relatifs. Gravure humide Gravure sèche Sélectivité Élevée Faible Coût de la gravure Faible Élevé Durée Importante Faible Technologie submicronique Difficile Aisée Anisotropie Non Oui Défauts spécifiques Effet galva Dommages par rayonnement Coût environnement Élevé Faible Consommation de produits Élevée Faible Contrôle Difficile Assez bon
  • 45. 45 VIII- Photolithogravure 1) Définition Toutes les techniques de procédés technologiques exposées précédemment présentent peu d'intérêt si elles ne se réalisent que pleine plaque. Il faut pouvoir oxyder, doper, métalliser localement suivant des motifs très bien définis et sur des surfaces de plus en plus faibles afin de créer et d'interconnecter des dispositifs élémentaires entre eux. Le procédé de transfert d'un masque (physique ou logiciel) vers la plaquette s'appelle photolithogravure. Ce mot est construit à partir de lithos (pierre en grec) et, de photographie. Il s'agit d'un procédé photographique qui permet la gravure d'une (ou plusieurs) couche(s) solide(s) telle que nitrure, oxyde, métal, etc..., suivant un motif bien défini. Nous verrons que la lumière utilisée est en général hors du domaine visible, à savoir en ultraviolet voire en X. II) Principe de la photolithographie Les figures 1 et 2 rappellent le principe du procédé de photolithographie ; l'objectif est de transférer un motif (par exemple un rectangle qui correspondra à une zone de source) réalisé sur masque vers une couche de la plaquette. Figure 1: Masque de photolithographie. L'utilisation du quartz est liée à la nature des photons qui sont en général dans le domaine ultraviolet. Le dioxyde de chrome est opaque à ce rayonnement et permet une bonne définition des motifs élémentaires.
  • 46. 46 Figure 2 : principe de la photolithographie : par insolation, on transfert vers la plaquette le motif défini sur le masque. 3) Réalisation d'un motif sur plaquette Dans l'exemple qui suit, nous allons voir comment procéder pour réaliser une zone dopée de type p par implantation ionique dans un substrat de type n. Les différentes étapes élémentaires sont détaillées. De façon analogue à la technique photographique, on peut utiliser deux types de résine photosensible : - résine positive, - résine négative. Dans le cas d'une résine positive, on retrouve après l'opération, exactement le même motif sur la couche gravée de la plaquette, que sur le masque de départ. Par exemple, à un motif de métal sur le masque (correspondant à un motif opaque), correspond le même motif d'oxyde restant sur la plaquette après gravure. Dans le cas d'une résine négative, on obtient sur la plaquette le motif complémentaire. La résine est étalée par une technique de centrifugation. On utilise pour cela une tournette (figure 3) qui aspire la plaquette afin qu'elle ne soit pas éjectée et qui permet, grâce à un réglage de la vitesse de rotation et de l'accélération, d'étaler uniformément la résine. Figure 3 : Tournette pour étalement de résine photosensible. La vitesse de rotation est calculée pour obtenir une couche fine sur toute la surface et d'épaisseur constante.
  • 47. 47 La figure 4 montre les épaisseurs obtenues pour deux types de résine différents en fonction de la vitesse de rotation (exprimée en tours par minute). Plus la vitesse de rotation est importante, plus l'épaisseur du film est faible. Il faut cependant tenir compte de l'accélération pour avoir une bonne homogénéité, les solvants de la résine s'évaporant au fur et à mesure de l'étalement, le coefficient de viscosité variant donc simultanément. Cela sous-entend un étalonnage précis qui est en fait une opération longue et délicate. On utilise en général les indications fournies par le fournisseur de résine mais l'environnement, pression partielle des gaz volatiles par exemple, joue un rôle important. Figure 4 : épaisseur obtenue de résine photosensible en fonction de la vitesse de rotation pour deux résines différentes La figure 5 détaille les différentes opérations de photolithogravure. Dans le cas présenté, l'oxyde est le négatif du motif de dioxyde de chrome du masque. La résine est dite dans ce cas négative. Figure 5 : principe de la photolithogravure. Le motif du masque a été transféré vers la plaquette. La résine est négative dans ce cas Après une oxydation et différents nettoyages, on étale la résine photosensible sur la plaquette à l'aide de la tournette. La résine est ensuite séchée (on élimine les produits les plus volatiles) puis insolée à travers le masque. Les motifs sont alors révélés par un révélateur. Après rinçage, une cuisson durcit la résine qui peut alors résister aux attaquants chimiques tels que l'acide fluorhydrique. La gravure humide par
  • 48. 48 le HF attaque la couche d'oxyde non protégée par la résine. La résine est ensuite retirée de la surface par un solvant chimique puissant tel que l'acétone. Dans certains cas, il faudra utiliser des techniques encore plus efficaces telles que la gravure par plasma d'oxygène; cette méthode est régulièrement utilisée dans les technologies submicroniques pour lesquelles la résine est aussi utilisée comme couche de masquage d'implantation ionique. 4) L'insolation et ses limites : L'insolation de la plaquette peut se réaliser de différentes manières, - par contact, - par proximité, - par projection, contact proximité projection Figure 6 : différentes techniques d'insolation : par contact, par proximité et projection. Par projection, il est possible de jouer sur le grandissement optique pour réaliser des motifs sur le masque de dimension 5 fois supérieure à celle des motifs projetés sur la plaquette [5]. Figure 7 : intensité de la lumière au niveau de la résine photosensible après insolation par contact, par proximité ou par projection [13].
  • 49. 49 Chacune d'entre elles présente ses avantages et ses inconvénients. Par exemple, la technique par contact donne théoriquement la meilleure définition des motifs, mais détériore le masque après chaque opération de masquage en raison des frottements importants à l'échelle microscopique. La projection donne la moins bonne définition optique, comme le montre la figure 7, en raison des effets de diffraction de la lumière, mais permet par contre d'effectuer une réduction ; dans ce dernier cas, la fabrication du masque est plus simple puisqu'elle n'exige pas une définition au moins égale à celle du motif reporté sur la plaquette. Apparemment, la projection constitue le cas le plus défavorable. Mais en exploitant le fait que la réponse de la résine à la lumière n'est pas linéaire (figure 8), on peut améliorer la définition des motifs en jouant sur la quantité de photons reçus (intensité lumineuse, temps d'insolation). En ajustant la dose d'insolation pour être au niveau 0,5 Io, on récupère la même dimension de motif. Figure 8 : Effet de la non linéarité de polymérisation. En choisissant le seuil astucieusement, on peut améliorer la définition des motifs. La figure 9 donne un exemple de machine à insoler par contact. Le principe pour les insolateurs par proximité est le même. Figure 9 : machine à insoler par contact. Une fois le masque et la plaquette alignés, on déplace la source lumineuse sur l'ensemble. L'insolation dure en général quelques dixièmes de secondes à quelques secondes.
  • 50. 50 Dans le cas des insolateurs par projection avec photorépétition, la projection se fait par secteur, c'est-à-dire, puce par puce ou bloc par bloc de plusieurs puces. Dans ce cas, il faut pouvoir parfaitement positionner la plaquette. Un système d'analyse d'image et de positionnement du porte substrat, par interférométrie laser, permet d'effectuer le bon alignement des motifs. Une plaquette de 200 mm de diamètre nécessite plusieurs minutes d'insolation (donc par niveau d'insolation) par la technique de photorépétition. Les procédés photolithographiques classiques utilisant des rayons lumineux dans les limites du domaine visible (proche Ultra Violet) ne permettent pas d'atteindre une définition suffisante pour les nouvelles technologies en développement, ceci étant essentiellement dû au phénomène physique de diffraction. Aussi l'évolution des dimensions entraîne la mise au point de nouveaux procédés tels que la lithographie par rayons X, la lithographie par faisceaux d'électrons. La lithographie par faisceau d'électrons est un procédé très intéressant mais dont il faut connaître les limites. La longueur d'onde associée aux électrons peut être suffisamment faible puisqu'elle est simplement liée à l'énergie d'accélération. La tâche de diffraction associée peut donc être de quelques dizaines d'Angström. Cependant, il faut tenir compte du fait que toute la surface doit être balayée en pilotant le faisceau. Un faisceau de taille minimale de 0,05 µm balayant une plaquette de 100 cm2 à une fréquence de commande d'extinction du faisceau de 100 MHz, aura parcouru l'ensemble de la plaquette au bout du temps suivant : tb = 10- 8 x 100 x (2 x 105) x (2 x 105) = 4 x 104s = 11 heures Ce temps est beaucoup trop long, puisqu'il correspond à l'opération d'écriture d'une seule plaque. Un faisceau de 0,1 µm donne un temps de 3 heures qui devient "raisonnable. En effet, ce temps est acceptable si on bénéficie du fait que le masque est informatique. On peut ainsi réaliser, sur la même plaquette, des circuits intégrés de nature différente (clients différents) alors que de façon classique c'est le même circuit qui est réalisé sur un masque physique après photorépétition des motifs correspondant à une puce. Les industriels disent dans ces conditions qu'ils réalisent des circuits multi- projets (CMP). Cette technique est donc bien adaptée à des petites séries. Il faut cependant être conscient qu'un tel système, représenté figure 10, est extrêmement coûteux puisqu'il faut éviter toute vibration d'amplitude supérieure à un dixième de microns. Il faut donc un dispositif antisismique régulé en température et très protégé de toute poussière. Figure 10 : représentation schématique d'une machine à écriture directe sur tranche utilisant un faisceau d'électrons. Dans ce cas, le masque est informatique [5].
  • 51. 51 IX- Procédés de fabrication 1) Présentation : Après avoir étudier l'ensemble des étapes technologiques de fabrication élémentaires, ce chapitre est consacré à l'étude de quelques procédés complets typiques tels que la réalisation de : - diodes, - transistors bipolaires, - transistors MOS. 2) Nécessité de propreté : salle blanche : Il faut avant tout se rappeler que le principal souci en cours de fabrication sera la propreté. Une seule poussière de dimension micronique se déposant sur une plaquette peut rendre inutilisable une puce de plusieurs millions de transistors. Ainsi, toute fabrication s'effectuera dans une salle blanche, salle dont l'atmosphère est contrôlée en température et humidité et dont le nombre de particules de poussières doit être le plus faible possible. On définit la "classe de propreté" par le nombre de particules de diamètre supérieur à O,5 µm par pieds cube ; 1 pieds = 1 ft = 30 cm, c'est-à-dire que 1 ft3 = 0,027 m3 = 27 litres. La notion de salle blanche commence lorsque la classe est inférieure ou égale à 1000. Dans une atmosphère ambiante normale, la classe serait de 1 à 10 millions. Une bonne salle blanche industrielle est actuellement de classe 1 voire 0,1. Ceci nécessite des équipements nombreux et coûteux. En effet, l'atmosphère d'une salle blanche de production doit être entièrement renouvelée toutes les 7 secondes en évitant toute turbulence dans les zones de travail. Plusieurs millions de mètres cube d'air sont ainsi soufflés et filtrés par heure dans une usine de production. Il faut éviter toute introduction de poussière, en particulier par les opérateurs et personnels. C'est la raison pour laquelle, toute personne entrant en salle blanche doit être entièrement équipée de vêtements spéciaux (qui ne "peluchent" pas), de gants, cagoules, surchausses ou chaussures spéciales, etc. Une "douche d'air", pouvant durer plusieurs minutes, prise dans le sas d'entrée est nécessaire. Il est donc clair que tous les équipements permettant d'effectuer le travail des plaquettes seront installés en salle blanche ou dans des enceintes à atmosphère rigoureusement contrôlée. 3) Nettoyage des substrats et plaquettes : L'opération de nettoyage est indispensable avant chaque étape technologique principale et correspond elle aussi à une longue suite d'opérations élémentaires. En effet, si une plaquette ou un substrat a attendu entre deux étapes majeures, il faut procéder à un dégraissage et un décapage de la surface en éliminant l'ensemble des impuretés ainsi que l'oxyde natif du silicium qui se forme automatiquement s'il n'est pas recouvert d'une couche protectrice.
  • 52. 52 - 3 min dans un bain de trichloréthylène chaud (dégraissage), - 3 min dans un bain d'acétone (pour enlever le trichloréthylène), - 3 min dans de l'alcool éthylique (pour enlever l'acétone), - 5 min dans de l'eau désionisée, - 10 min dans la solution basique SC1 (3 NH4OH + 7H2O + 3H2O2) à ébullition (élimine les impuretés organiques en régénérant l'oxyde natif), - 5 min dans de l'eau désionisée, - 10 min dans la solution acide (HCl + 6 H2O + H2O2) à ébullition (élimine les impuretés métalliques en régénérant l'oxyde natif), - 5 min dans de l'eau désionisée, - 1 min dans de l'acide fluorhydrique (élimination de l'oxyde natif) - 5 min dans de l'eau désionisée. Cet ensemble d'opérations est renouvelé autant que nécessaire au cours du procédé complet de fabrication. 4) Réalisation d'une diode : Nous allons donner les principales étapes permettant de fabriquer une diode à jonction pn : - nettoyage du substrat, - oxydation épaisse humide pour fabriquer un masque de diffusion, - photolithographie 1, d'ouverture de l'anode, - implantation de Bore, - diffusion-recuit d'implantation, - photolithographie 2, d'ouverture de contacts, - dépôt d'Aluminium, - photolithographie 3, des contacts, - recuit forming-gas (10%H2 dans N2), - dépôt de verre de passivation (verre de Bore),
  • 53. 53 Figure 1: Réalisation d'une diode Dans cette énumération, l'opération de photolithographie d'ouverture de l'anode correspond à l'ensemble des opérations présentées dans la partie précédente. 5) Procédé de fabrication d'un transistor bipolaire : La réalisation d'un transistor bipolaire nécessite un plus grand nombre d'étapes que pour une diode en raison de la présence des trois zones d'émetteur, de base et de collecteur, mais surtout en raison des propriétés électriques que l'on souhaite avoir au niveau de la structure finie. En effet, il faut tenir compte de contraintes dont notamment: - La résistance de collecteur doit être la plus faible possible, il faut donc une zone de collecteur très dopée et un accès au collecteur enterré de faible résistance, - La tenue en tension de la jonction collecteur-base doit être suffisamment grande, il faut donc une zone de base faiblement dopée qui s'étende suffisamment, - La résistance de base doit être faible, afin de ne pas limiter la réponse en fréquence
  • 54. 54 - Les transistors doivent être isolés électriquement entre eux ; un oxyde de silicium localisé (LOCOS) sépare alors les structures, - L'émetteur doit être très dopé, - Le dopage de la base intrinsèque parfaitement contrôlé ; avec le dopage d'émetteur, ils déterminent le gain du transistor. Le procédé de fabrication va tenir compte de ces contraintes ; c'est ce qui explique la présence d'un collecteur enterré très dopé, d'une zone de base épitaxiée de dopage plus faible que la base intrinsèque, etc. Les principales étapes de fabrication (figure 2) sont les suivantes : - Nettoyage du substrat - Réalisation de la couche N+ de collecteur enterré comprenant l'oxydation, la photolithographie d'oxyde, l'implantation de dopant de type N, le recuit d'implantation, la gravure de l'oxyde, - Épitaxie de la zone de base faiblement dopée, - La réalisation des LOCOS (utilisation d'une couche de nitrure comme barrière de diffusion), - La réalisation de la base intrinsèque par implantation ionique (oxydation, photolithographie, implantation de Bore, gravure de l'oxyde, recuit d'implantation), - La réalisation du puits d'accès au collecteur et de l'émetteur par implantations ioniques, - l'oxydation d'isolation, - L'ouverture des contacts par photolithographie, - La métallisation Aluminium, - La photolithographie d'Aluminium. Dans cette énumération, toutes les étapes ne sont pas détaillées. En les énumérant de façon exhaustive, pour ce procédé considéré simple et ancien, le nombre d'étapes élémentaires avoisine les 200. Des procédés plus récents atteignent facilement 400 à 500 étapes. C'est ce qui explique, notamment que le temps de fabrication est de l'ordre de 2 à 3 mois en fonction du degré de complexité.
  • 55. 55 Figure2 : Réalisation d'un transistor bipolaire.
  • 56. 56 6) Procédé de fabrication de transistors MOS Avant de détailler les procédés de fabrication des transistors MOS, il peut être utile de rappeler qu'il existe quatre types principaux de transistors dont les représentation schématiques et les caractéristiques électriques directe et de transfert sont regroupées ci-dessous.. Il s'agit des transistors NMOS à enrichissement ou à appauvrissement, qui sont aussi respectivement normalement non conducteurs ou normalement conducteurs (normally-off ou normally-on), et les transistors PMOS à enrichissement ou a appauvrissement. Figure 3 : Représentation schématique d'un transistor NMOS à enrichissement ou normalement non conducteur. La tension de seuil est positive. Figure 4 : Représentation schématique d'un transistor NMOS à appauvrissement ou normalement conducteur. La tension de seuil est négative.
  • 57. 57 Figure 5 : Représentation schématique d'un transistor PMOS à enrichissement ou normalement non conducteur. La tension de seuil est négative. Figure 6 : Représentation schématique d'un transistor PMOS à appauvrissement ou normalement conducteur. La tension de seuil est positive. 7) Procédé de fabrication d'un transistor MOS canal N Il s'agit dans ce cas de réaliser des transistors MOS dans un même substrat. Nous allons dans un premier temps regarder un procédé simplifié de réalisation de transistors MOS à canal N à enrichissement. Cela signifie qu'il faut réaliser dans un substrat de type p qui constituera la zone de canal, les deux zones très dopées de type n qui constitueront les source et drain. L'oxyde de grille sur la zone de canal devra être de très bonne qualité électronique. La fin du procédé consistera à réaliser les zones de contacts de grille, de source et de drain. Nous verrons dans un deuxième temps un procédé plus élaboré et donc plus complexe de réalisation de transistors NMOS et PMOS en technologie CMOS.
  • 58. 58 La succession des étapes principales de réalisation est la suivante : - nettoyage du substrat, - oxydation épaisse de masquage de dopage des source et drain, - photolithogravure d'ouverture des source et drain, - dopage au phosphore (diffusion ou implantation ionique), - photolithogravure d'ouverture de la zone de canal, - oxydation fine de l'oxyde de grille, - ajustement de la tension de seuil par implantation ionique de Bore, - photolithographie d'ouverture des contacts de source et de drain,
  • 59. 59 - dépôt d'aluminium, - photolithogravure de l'aluminium, - recuit final sous forming-gas (mélange d'azote et d'hydrogène à 10%) pour améliorer les contacts. Ce procédé très simple permet de réaliser des transistors MOS ; il correspond aux premiers procédés MOS mis en oeuvre industriellement au début des années 70. Compte tenu des différentes étapes de masquage nécessitant des alignements, pour diminuer les dimensions des transistors il a fallu trouver des méthodes permettant de positionner automatiquement les zones de source et drain par rapport à la grille. Ces technologies sont alors dites autoalignées. Le procédé CMOS suivant correspond à cette évolution. 8) Procédé de fabrication des transistors MOS canal N et P en technologie CMOS Il s'agit dans ce cas de réaliser simultanément sur un même substrat des transistors MOS à canal N et des transistors MOS à canal P. Pour compléter les possibilités d'application, il est aussi fabriqué, au cours du même procédé et sur le même substrat, des capacités. Il faudra ainsi tenir compte du fait, d'une part, que la zone de canal des deux types de transistors est de dopage différent, et d'autre part, que les transistors doivent être électriquement isolés au niveau du substrat. Ceci nécessite la réalisation d'un "puits" ou "caisson" de dopage pour la zone de canal de l'un des deux transistors ; dans le cas présenté, le caisson est de type N et correspond donc à la zone de canal du PMOS. Le dopage des zones de canal, qui ont une influence importante sur la tension de seuil des transistors MOS, sont ajustés avec précision par implantation ionique de bore pour le PMOS et d'arsenic pour le NMOS. Les isolations sont réalisées à partir de LOCOS. Toutefois, afin d'éviter des courts-circuits sous ces LOCOS, il faudra réaliser des surdopages bloquant la création de canaux non désirés. Les impuretés dans les oxydes thermiques humides étant essentiellement de charge positive, le blocage de ces transistors fictifs sera obtenu par un fort dopage au bore sous l'interface LOCOS/substrat. On dit que l'on réalise un "anti- canal". Afin de réaliser des transistors à longueur de grille de très petite dimension, le procédé utilise l'autoalignement grâce à l'emploi de grille en silicium polycristallin. Pour ces structures de très petite dimension, les lignes métalliques d'interconnexion et de prise de contact prennent de plus en plus d'importance. Dans le cas de piste en aluminium, des passages de marches trop abruptes peuvent entraîner la coupure de la piste (fissure dans la couche d'aluminium). Afin d'éviter ces coupures, on arrondit les marches en utilisant un verre (LTO, Low Temperature Oxide) suffisamment visqueux à des températures peu élevées (500-600°C) pour créer un fluage au niveau des ouvertures de contact. Ce verre peut être du PSG (Phospho- Silicon Glass) ou BPSG (Boro-Phospho-Silicon Glass). Ainsi, ce verre, après un recuit autour de 600°C, permet une bonne continuité des co uches d'aluminium déposées en surface et donc des pistes après photolithogravure.
  • 60. 60 Dans un premier temps, une liste exhaustive des 49 principales opérations technologiques permettant la fabrication de ces structures est présentée. On a représenté en caractères gras soit les zones concernées, soit les groupes d'étapes du procédé. La figure 80 a) à i) qui suit, détaille par des schémas l'ensemble de ces opérations. Substrat P <100> résistivité : 12 Ohms.cm (8 à 15) Na = 1,1.1015 cm-3 (1,7 à 0,9 x1015 cm-3 ) 1.- Nettoyage. 2.- Oxyde piédestal 250 Å 950°C 3.- Dépôt de Si3N4 (LPCVD) 900 Å 4.- Recuit nitrure 5.- Photolithogravure 1 : ZONES ACTIVES 6.- Gravure plasma (R.I.E) 7.- Photolithogravure 2 : CAISSON 8.- Implantation Phosphore , dose=3,3.1012 cm-2 , énergie=180 keV 9.- Retrait résine 10.- Recuit caisson 1200°C 150 min 11.- Photolithogravure 3 : ANTICANAL 12.- Implantation Bore, dose= 3.1013 cm-2 , énergie= 45 keV 13.- Oxydation localisée (LOCOS) 6500 Å 14.- Gravure plasma O.N.O. (oxyde - nitrure - oxyde) R.I.E. 15.- Désoxydation de l'oxyde sacrificiel. 16.- Oxydation M.O.S. (oxyde de grille) 250 Å 17.- Implantation de Bore (pleine plaque) dose=6,5.1011 cm-2 , énergie=25 keV Pour l'ajustement de la tension de seuil des PMOS et NMOS. 18.- Dépôt de résine face avant et Désoxydation face arrière 19.- Dépôt de Si-Poly (grille) LPCVD 4200 Å 20.- Dopage du Si-Poly par Diffusion POCl3 950 °C 44 min 21.- Dépôt de WSi2 par copulvérisation 22.- Recuit Flash (pour former le siliciure) 900°C 23.- Photolithogravure 4 : GRILLE POLY 24.- Gravure R.I.E. du "Polycide" 25.- Retrait résine 26.- Oxydation de la grille Si-Poly 500 Å 1000°C 60 min (oxyde des capacités) 27.- Photolithogravure 5 : DRAIN - SOURCE NMOS
  • 61. 61 28.- Implantation d'Arsenic dose=4.1015 cm-2 , énergie=180 keV 29.- Retrait résine 30.- Photolithogravure 6 : DRAIN - SOURCE PMOS 31.- Implantation de Bore , dose=2.1015 cm-2 , énergie= 30 keV 32. Retrait résine 33.- Déoxydation (reste oxyde fin de capacité). 34.- Dépôt Si-Poly 2 (LPCVD) 4300 Å 35.- Dopage Si-Poly2: implantation de Phosphore, dose=5.1015 cm-2 , énergie=110 keV 36.- Recuit d'implantation 800°C 30 min N 2 37.- Dépôt d'oxyde L.T.O. : non dopé : 1000 Å+ dopé Phosphore 7 à 8 % : 7000 Å 38.- Densification du L.T.O. 650°C 39.- Photolithogravure 7 : GRAVURE DES CONTACTS 40.- Gravure de l'oxyde R.I.E. 41.- Retrait résine 42.- Recuit Flash (fluage LTO) 1170°C 20 sec. N 2 43.- Dépôt d'Aluminium-Silicium 44.- Photolithogravure 8 : GRAVURE ALU 45.- Gravure de l'Aluminium R.I.E. 46.- Recuit des interconnexions Al - Si
  • 62. 62 Etape 1 : nettoyage R.C.A Etape 3 : dépôt nitrure + recuit Etape 2 : oxyde piédestal Etape 4 : résine photosensible Etape 5 : photolithogravure 1: zones actives Etape 6 : retrait résine Etape 7 : dépôt résine Etape 8 : photolithogravure2: caisson n phosphore Etape 9 : implantation caisson phosphore Etape 10 : retrait résine + recuit caisson Etape 11 : photolithogravure 3: anticanal Etape 12 : implantation Bore anti-canal
  • 63. 63 Etape 13 : retrait résine Etape 14 : oxydation locos Etape 15 : retrait nitrure Etape 16 : désoxydation Etape 17 : oxydation mos Etape 18 : dopage ajustement tension seuil NMOS Etape 19 : dépôt Si poly Etape 20 : dépôt Siliciure Etape 21 : dépôt résine Etape 22 : photolithogravure 4: définition grille Etape 23 : retrait résine Etape 24 : oxydation mince
  • 64. 64 Etape 26 : photolithogravure 5.Etape 25 : dépôt résine Etape 28 : retrait résineEtape 27 : implantation Arsenic Etape 29 : dépôt résine Etape 30 : photolithogravure 6: Etape 31 : implantation Bore Etape 32 : retrait résine Etape 33 : désoxydation et dépôt poly2 Etape 34 : dépôt de LTO
  • 65. 65 Etape 35 : photolithogravure 7 du LTO Etape 36 : fluage de LTO Etape 37 : dépôt Aluminium Etape 38 : dépôt résine Etape 39 : photolithogravure 8 de Al Etape 40 : recuit Alu + forming-gas
  • 66. 66 X- Amélioration des procédés technologiques 1) Présentation : La complexité des circuits intégrés augmentant avec la réduction des dimensions, il est de plus en plus nécessaire de réaliser des interconnexions entre les différents composants du circuit. Le nombre de niveaux d'interconnexion augmente depuis plusieurs années. Des circuits qui étaient réalisables avec deux niveaux d'interconnexion dans les années 70 (une couche poly et une couche aluminium), deviennent obsolètes. On a assisté à une évolution dite double poly double alu dans les années 80; les années 90 voient se développer des circuits multi-niveaux d'interconnexion, des circuits démonstrateurs atteignant la dizaine de niveaux. En production, en 1998, les circuits comporteront 7 niveaux. Sachant que chaque niveau d'interconnexion nécessite une couche isolante gravée et une couche conductrice, elle aussi gravée, après la réalisation de plusieurs niveaux, le relief devient tellement perturbé qu'il est difficile d'obtenir des lignes conductrices complètes sans défauts (coupures ou amorces de coupures). Des techniques qui minimisent ce relief ont donc été développées dont le but est essentiellement de planariser la surface sur laquelle va s'effectuer le nouveau dépôt. Deux principales méthodes sont proposées, l'une consistant à combler les cavités par une couche, l'autre à araser les sommets des reliefs par gravure. 2) Planarisation des couches : A) Méthode "SOG": L'acronyme SOG vient de "Spin On Glass" que l'on pourrait traduire par "verre centrifugé". En pratique, cette technique consiste en un dépôt de dioxyde de silicium par étalement par centrifugation (tournette) d'une couche visqueuse à température ambiante contenant les éléments permettant la synthèse de SiO2. Lors de son étalement, la solution a tendance à combler les trous ou les dépressions de la surface du circuit qui peut être très tourmentée en fonction du nombre d'étapes d'oxydation antérieures par exemple (figure 1). Après étuvage et évaporation des parties volatiles, la couche est essentiellement constituée de SiO2 et est recuite de manière à obtenir une densification de celui-ci. Après cette étape, en moyenne les deux tiers du relief sont atténués. Ceci permet d'effectuer plusieurs niveaux d'interconnexion, en limitant les problèmes de planéité. Il peut être noté que comme pour l'étalement de la résine de masquage, les étalonnages de la viscosité de la résine, de l'accélération et de la vitesse de la tournette sont nécessaires. Figure 1 : Technique de planarisation utilisant du SOG.