SlideShare ist ein Scribd-Unternehmen logo
1 von 12
Guided by
Dr. Sunil Kumar. S. Manvi
Project Members
Abhiroop. M. N
Asha. A. R
Nagapooja. K
Pavan. S
component codecclk
PORT
(
inclk0 : IN STD_LOGIC ;
c0 : OUT STD_LOGIC
);
end component;
Codec clkIn clk
(25 Mhz)
C0
(2.048 Mhz)
component pll75m
PORT
(
inclk0 : IN STD_LOGIC ;
c0 : OUT STD_LOGIC
);
end component;
PLL 75MhzIn clk
(2.048 Mhz)
C0
(75 Mhz)
component packetmem
PORT
(
data : IN STD_LOGIC_VECTOR (7 DOWNTO 0);
inclock : IN STD_LOGIC := '1';
outclock : IN STD_LOGIC ;
rdaddress : IN STD_LOGIC_VECTOR (12 DOWNTO 0);
wraddress : IN STD_LOGIC_VECTOR (12 DOWNTO 0);
wren : IN STD_LOGIC := '0';
q : OUT STD_LOGIC_VECTOR (7 DOWNTO 0)
);
end component;
PLL 75Mhz
data
In clock
Out clock
WR Address
RD Address
WR Enable
Q
codecclk_inst : codecclk
PORT MAP
(
inclk0 => clkin,
c0 => clk2048m
);
pll75m_inst : pll75m
PORT MAP
(
inclk0 => clkin,
c0 => clk75m
);
packetmem_init : packetmem
PORT MAP(
data => rxmemip,
inclock => clk2048m,
outclock => clk75m,
rdaddress => (bytecnt(3 downto 0) +1) & (not bank) & rxmemwa,
wraddress => bytecnt(3 downto 0) & bank & rxmemwa,
wren => rxmemwe,
q => rdatareg_1
);
bytecnt bank rxmemwa
rdata <= CODEC_VOUT1 when (bytecnt =1 or bytecnt =2 or bytecnt =3 or bytecnt =4)
else CODEC_VOUT2 when (bytecnt =5 or bytecnt =6 or bytecnt =7 or bytecnt =8)
else CODEC_VOUT3 when (bytecnt =9 or bytecnt =10 or bytecnt =11 or bytecnt =12)
else CODEC_VOUT4 when (bytecnt =13 or bytecnt =14 or bytecnt =15 or bytecnt =16) ;
SYNC_D(1) <= '1' when (bytecnt =0 and bitcnt =7)else '0';
SYNC_D(2) <= '1' when (bytecnt =1 and bitcnt =7)else '0';
SYNC_D(3) <= '1' when (bytecnt =2 and bitcnt =7) else '0';
SYNC_D(4) <= '1' when (bytecnt =3 and bitcnt =7) else '0';
SYNC_D(5) <= '1' when (bytecnt =4 and bitcnt =7) else '0';
SYNC_D(6) <= '1' when (bytecnt =5 and bitcnt =7) else '0';
SYNC_D(7) <= '1' when (bytecnt =6 and bitcnt =7) else '0';
SYNC_D(8) <= '1' when (bytecnt =7 and bitcnt =7) else '0';
1 bit
1byte 160 bytes 1byte
1 bit
Codec_Vout0
rdata
tdatareg
rdatareg
Codec_Vin2
160 bytes
Bank 0 Bank 1
rx_sm1:process (clk2048m)
begin
if ( clk2048m 'event and clk2048m = '0') then
rdata1 <= rdata;
end if;
end process;
rx_sm:process (clk2048m)
begin
if ( clk2048m 'event and clk2048m='1') then
if( int_reset = '1') then
bitcnt <= (others=>'0');
bytecnt <= (others=>'0');
framecnt <= (others=>'0');
end if;
if( framecnt = "10100000") then
framecnt <= "00000000";
bank <= not bank;
end if;
if( bitcnt = 1) then
rxmemip <= rdatareg ;
rxmemwa <= framecnt;
rxmemwab <= bank;
rxmemwe <= '1';
else
rxmemwe <= '0';
end if;
end if;
end if;
else
rdatareg1 <= rdatareg1(6 downto 0) & rdata1;
bitcnt <= bitcnt + 1;
if( bitcnt = 7) then
if( bytecnt = framelength ) then
bytecnt <= (others=>'0');
framecnt <= framecnt + 1;
else
bytecnt <= bytecnt + 1;
end if;
end if;
if( bitcnt = 0) then
rdatareg <= rdatareg1;
end if;
if ( clk2048m'event and clk2048m='1') then
if( bitcnt = 7)then
tdatareg0 <= rdatareg_1;
else
tdatareg0 <= tdatareg0(6 downto 0)& '0';
end if;
end if;
CODEC_VIN2 <= tdatareg0(7);
Tdm to vo ip 2

Weitere ähnliche Inhalte

Was ist angesagt?

Digital system design practical file
Digital system design practical fileDigital system design practical file
Digital system design practical fileArchita Misra
 
Reporte de electrónica digital con VHDL: practica 7 memorias
Reporte de electrónica digital con VHDL: practica 7 memorias Reporte de electrónica digital con VHDL: practica 7 memorias
Reporte de electrónica digital con VHDL: practica 7 memorias SANTIAGO PABLO ALBERTO
 
Dsd lab Practical File
Dsd lab Practical FileDsd lab Practical File
Dsd lab Practical FileSoumya Behera
 
Data Acquisition
Data AcquisitionData Acquisition
Data Acquisitionazhar557
 
Mutate and Test your Tests
Mutate and Test your TestsMutate and Test your Tests
Mutate and Test your TestsSTAMP Project
 
Nopcon '16 Android Kernel Vulnerabilities
Nopcon '16 Android Kernel VulnerabilitiesNopcon '16 Android Kernel Vulnerabilities
Nopcon '16 Android Kernel VulnerabilitiesAbdSec
 
Mysql5.1 character set testing
Mysql5.1 character set testingMysql5.1 character set testing
Mysql5.1 character set testingPhilip Zhong
 
Non-blocking synchronization — what is it and why we (don't?) need it
Non-blocking synchronization — what is it and why we (don't?) need itNon-blocking synchronization — what is it and why we (don't?) need it
Non-blocking synchronization — what is it and why we (don't?) need itAlexey Fyodorov
 
Mysql handle socket
Mysql handle socketMysql handle socket
Mysql handle socketPhilip Zhong
 
Writing more complex models (continued)
Writing more complex models (continued)Writing more complex models (continued)
Writing more complex models (continued)Mohamed Samy
 
Compare mysql5.1.50 mysql5.5.8
Compare mysql5.1.50 mysql5.5.8Compare mysql5.1.50 mysql5.5.8
Compare mysql5.1.50 mysql5.5.8Philip Zhong
 
HSA Kernel Code (KFD v0.6)
HSA Kernel Code (KFD v0.6)HSA Kernel Code (KFD v0.6)
HSA Kernel Code (KFD v0.6)Hann Yu-Ju Huang
 

Was ist angesagt? (19)

Digital system design practical file
Digital system design practical fileDigital system design practical file
Digital system design practical file
 
Qt Network Explained (Portuguese)
Qt Network Explained (Portuguese)Qt Network Explained (Portuguese)
Qt Network Explained (Portuguese)
 
Reporte de electrónica digital con VHDL: practica 7 memorias
Reporte de electrónica digital con VHDL: practica 7 memorias Reporte de electrónica digital con VHDL: practica 7 memorias
Reporte de electrónica digital con VHDL: practica 7 memorias
 
Dsd lab Practical File
Dsd lab Practical FileDsd lab Practical File
Dsd lab Practical File
 
Data Acquisition
Data AcquisitionData Acquisition
Data Acquisition
 
Program of speed and direction control of dc motor
Program of speed and direction control of dc motorProgram of speed and direction control of dc motor
Program of speed and direction control of dc motor
 
Mutate and Test your Tests
Mutate and Test your TestsMutate and Test your Tests
Mutate and Test your Tests
 
Nopcon '16 Android Kernel Vulnerabilities
Nopcon '16 Android Kernel VulnerabilitiesNopcon '16 Android Kernel Vulnerabilities
Nopcon '16 Android Kernel Vulnerabilities
 
Geth important commands
Geth important commandsGeth important commands
Geth important commands
 
Verilog code
Verilog codeVerilog code
Verilog code
 
Mysql5.1 character set testing
Mysql5.1 character set testingMysql5.1 character set testing
Mysql5.1 character set testing
 
Vhdl lab manual
Vhdl lab manualVhdl lab manual
Vhdl lab manual
 
Non-blocking synchronization — what is it and why we (don't?) need it
Non-blocking synchronization — what is it and why we (don't?) need itNon-blocking synchronization — what is it and why we (don't?) need it
Non-blocking synchronization — what is it and why we (don't?) need it
 
Mysql handle socket
Mysql handle socketMysql handle socket
Mysql handle socket
 
Writing more complex models (continued)
Writing more complex models (continued)Writing more complex models (continued)
Writing more complex models (continued)
 
Compare mysql5.1.50 mysql5.5.8
Compare mysql5.1.50 mysql5.5.8Compare mysql5.1.50 mysql5.5.8
Compare mysql5.1.50 mysql5.5.8
 
Behavioral modelling in VHDL
Behavioral modelling in VHDLBehavioral modelling in VHDL
Behavioral modelling in VHDL
 
HSA Kernel Code (KFD v0.6)
HSA Kernel Code (KFD v0.6)HSA Kernel Code (KFD v0.6)
HSA Kernel Code (KFD v0.6)
 
Data Flow Modeling
Data Flow ModelingData Flow Modeling
Data Flow Modeling
 

Andere mochten auch

Cloud cpr uncc cloud computing conference 2013
Cloud cpr   uncc cloud computing conference 2013Cloud cpr   uncc cloud computing conference 2013
Cloud cpr uncc cloud computing conference 2013C5_LUCK
 
La ciencia y la tecnología en el desarrollo de las transformaciones estructur...
La ciencia y la tecnología en el desarrollo de las transformaciones estructur...La ciencia y la tecnología en el desarrollo de las transformaciones estructur...
La ciencia y la tecnología en el desarrollo de las transformaciones estructur...Joslius David Prato Valera
 
School board meeting
School board meetingSchool board meeting
School board meetingCaitlin Lang
 
DevFest Student 2014 REST API Halil Kaya
DevFest Student 2014 REST API Halil KayaDevFest Student 2014 REST API Halil Kaya
DevFest Student 2014 REST API Halil KayaHalil Kaya
 
Universidades Politécnicas Territoriales: Instrumentos sociales para el desar...
Universidades Politécnicas Territoriales: Instrumentos sociales para el desar...Universidades Politécnicas Territoriales: Instrumentos sociales para el desar...
Universidades Politécnicas Territoriales: Instrumentos sociales para el desar...Joslius David Prato Valera
 
La quiebra del lenguaje Rafael Cadenas Venezolano- Larence
La quiebra del lenguaje Rafael Cadenas Venezolano- Larence La quiebra del lenguaje Rafael Cadenas Venezolano- Larence
La quiebra del lenguaje Rafael Cadenas Venezolano- Larence Joslius David Prato Valera
 
Drukarka 3D - przyszłość innowacji!
Drukarka 3D - przyszłość innowacji!Drukarka 3D - przyszłość innowacji!
Drukarka 3D - przyszłość innowacji!Maciej Haufa
 
Hypersensitivity
HypersensitivityHypersensitivity
HypersensitivityAmit Makkar
 

Andere mochten auch (16)

Prezentacja
PrezentacjaPrezentacja
Prezentacja
 
Cloud cpr uncc cloud computing conference 2013
Cloud cpr   uncc cloud computing conference 2013Cloud cpr   uncc cloud computing conference 2013
Cloud cpr uncc cloud computing conference 2013
 
Oración de un niño hispanoamericano
Oración de un niño hispanoamericanoOración de un niño hispanoamericano
Oración de un niño hispanoamericano
 
La ciencia y la tecnología en el desarrollo de las transformaciones estructur...
La ciencia y la tecnología en el desarrollo de las transformaciones estructur...La ciencia y la tecnología en el desarrollo de las transformaciones estructur...
La ciencia y la tecnología en el desarrollo de las transformaciones estructur...
 
School board meeting
School board meetingSchool board meeting
School board meeting
 
DevFest Student 2014 REST API Halil Kaya
DevFest Student 2014 REST API Halil KayaDevFest Student 2014 REST API Halil Kaya
DevFest Student 2014 REST API Halil Kaya
 
Reflexiones de periódicos
Reflexiones de periódicosReflexiones de periódicos
Reflexiones de periódicos
 
Universidades Politécnicas Territoriales: Instrumentos sociales para el desar...
Universidades Politécnicas Territoriales: Instrumentos sociales para el desar...Universidades Politécnicas Territoriales: Instrumentos sociales para el desar...
Universidades Politécnicas Territoriales: Instrumentos sociales para el desar...
 
Summary
SummarySummary
Summary
 
La quiebra del lenguaje Rafael Cadenas Venezolano- Larence
La quiebra del lenguaje Rafael Cadenas Venezolano- Larence La quiebra del lenguaje Rafael Cadenas Venezolano- Larence
La quiebra del lenguaje Rafael Cadenas Venezolano- Larence
 
Indignaos
IndignaosIndignaos
Indignaos
 
Tdm to vo ip 1
Tdm to vo ip 1Tdm to vo ip 1
Tdm to vo ip 1
 
Construcción de las comunas
Construcción de las comunasConstrucción de las comunas
Construcción de las comunas
 
Drukarka 3D - przyszłość innowacji!
Drukarka 3D - przyszłość innowacji!Drukarka 3D - przyszłość innowacji!
Drukarka 3D - przyszłość innowacji!
 
Hypersensitivity
HypersensitivityHypersensitivity
Hypersensitivity
 
Caries indices
Caries indicesCaries indices
Caries indices
 

Ähnlich wie Tdm to vo ip 2

Pythonによるカスタム可能な高位設計技術 (Design Solution Forum 2016@新横浜)
Pythonによるカスタム可能な高位設計技術 (Design Solution Forum 2016@新横浜)Pythonによるカスタム可能な高位設計技術 (Design Solution Forum 2016@新横浜)
Pythonによるカスタム可能な高位設計技術 (Design Solution Forum 2016@新横浜)Shinya Takamaeda-Y
 
Digital System Design Lab Report - VHDL ECE
Digital System Design Lab Report - VHDL ECEDigital System Design Lab Report - VHDL ECE
Digital System Design Lab Report - VHDL ECERamesh Naik Bhukya
 
Vechicle accident prevention using eye bilnk sensor ppt
Vechicle accident prevention using eye bilnk sensor pptVechicle accident prevention using eye bilnk sensor ppt
Vechicle accident prevention using eye bilnk sensor pptsatish 486
 
VHdl lab report
VHdl lab reportVHdl lab report
VHdl lab reportJinesh Kb
 
IEEE 1149.1-2013 Addresses Challenges in Test Re-Use from IP to IC to Systems
IEEE 1149.1-2013 Addresses Challenges in Test Re-Use from IP to IC to SystemsIEEE 1149.1-2013 Addresses Challenges in Test Re-Use from IP to IC to Systems
IEEE 1149.1-2013 Addresses Challenges in Test Re-Use from IP to IC to SystemsIEEE Computer Society Computing Now
 
Project single cyclemips processor_verilog
Project single cyclemips processor_verilogProject single cyclemips processor_verilog
Project single cyclemips processor_verilogHarsha Yelisala
 
Digital to analog -Sqaure waveform generator in VHDL
Digital to analog -Sqaure waveform generator in VHDLDigital to analog -Sqaure waveform generator in VHDL
Digital to analog -Sqaure waveform generator in VHDLOmkar Rane
 

Ähnlich wie Tdm to vo ip 2 (20)

Uart
UartUart
Uart
 
Fpga creating counter with internal clock
Fpga   creating counter with internal clockFpga   creating counter with internal clock
Fpga creating counter with internal clock
 
vhdll.docx
vhdll.docxvhdll.docx
vhdll.docx
 
Vhdl programs
Vhdl programsVhdl programs
Vhdl programs
 
Reporte vhdl9
Reporte vhdl9Reporte vhdl9
Reporte vhdl9
 
Pythonによるカスタム可能な高位設計技術 (Design Solution Forum 2016@新横浜)
Pythonによるカスタム可能な高位設計技術 (Design Solution Forum 2016@新横浜)Pythonによるカスタム可能な高位設計技術 (Design Solution Forum 2016@新横浜)
Pythonによるカスタム可能な高位設計技術 (Design Solution Forum 2016@新横浜)
 
Uart
UartUart
Uart
 
Digital System Design Lab Report - VHDL ECE
Digital System Design Lab Report - VHDL ECEDigital System Design Lab Report - VHDL ECE
Digital System Design Lab Report - VHDL ECE
 
Reporte vhd10
Reporte vhd10Reporte vhd10
Reporte vhd10
 
Direct analog
Direct analogDirect analog
Direct analog
 
FPGA Tutorial - LCD Interface
FPGA Tutorial - LCD InterfaceFPGA Tutorial - LCD Interface
FPGA Tutorial - LCD Interface
 
Vechicle accident prevention using eye bilnk sensor ppt
Vechicle accident prevention using eye bilnk sensor pptVechicle accident prevention using eye bilnk sensor ppt
Vechicle accident prevention using eye bilnk sensor ppt
 
report cs
report csreport cs
report cs
 
An Example MIPS
An Example  MIPSAn Example  MIPS
An Example MIPS
 
VHdl lab report
VHdl lab reportVHdl lab report
VHdl lab report
 
IEEE 1149.1-2013 Addresses Challenges in Test Re-Use from IP to IC to Systems
IEEE 1149.1-2013 Addresses Challenges in Test Re-Use from IP to IC to SystemsIEEE 1149.1-2013 Addresses Challenges in Test Re-Use from IP to IC to Systems
IEEE 1149.1-2013 Addresses Challenges in Test Re-Use from IP to IC to Systems
 
Project single cyclemips processor_verilog
Project single cyclemips processor_verilogProject single cyclemips processor_verilog
Project single cyclemips processor_verilog
 
ESD -DAY 24.pptx
ESD -DAY 24.pptxESD -DAY 24.pptx
ESD -DAY 24.pptx
 
Digital to analog -Sqaure waveform generator in VHDL
Digital to analog -Sqaure waveform generator in VHDLDigital to analog -Sqaure waveform generator in VHDL
Digital to analog -Sqaure waveform generator in VHDL
 
گزارش کار
گزارش کارگزارش کار
گزارش کار
 

Kürzlich hochgeladen

Artificial intelligence in cctv survelliance.pptx
Artificial intelligence in cctv survelliance.pptxArtificial intelligence in cctv survelliance.pptx
Artificial intelligence in cctv survelliance.pptxhariprasad279825
 
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage Cost
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage CostLeverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage Cost
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage CostZilliz
 
Connect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck PresentationConnect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck PresentationSlibray Presentation
 
How to write a Business Continuity Plan
How to write a Business Continuity PlanHow to write a Business Continuity Plan
How to write a Business Continuity PlanDatabarracks
 
"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr Bagan"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr BaganFwdays
 
Designing IA for AI - Information Architecture Conference 2024
Designing IA for AI - Information Architecture Conference 2024Designing IA for AI - Information Architecture Conference 2024
Designing IA for AI - Information Architecture Conference 2024Enterprise Knowledge
 
Unraveling Multimodality with Large Language Models.pdf
Unraveling Multimodality with Large Language Models.pdfUnraveling Multimodality with Large Language Models.pdf
Unraveling Multimodality with Large Language Models.pdfAlex Barbosa Coqueiro
 
Scanning the Internet for External Cloud Exposures via SSL Certs
Scanning the Internet for External Cloud Exposures via SSL CertsScanning the Internet for External Cloud Exposures via SSL Certs
Scanning the Internet for External Cloud Exposures via SSL CertsRizwan Syed
 
The Ultimate Guide to Choosing WordPress Pros and Cons
The Ultimate Guide to Choosing WordPress Pros and ConsThe Ultimate Guide to Choosing WordPress Pros and Cons
The Ultimate Guide to Choosing WordPress Pros and ConsPixlogix Infotech
 
Commit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easyCommit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easyAlfredo García Lavilla
 
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks..."LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...Fwdays
 
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptx
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptxMerck Moving Beyond Passwords: FIDO Paris Seminar.pptx
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptxLoriGlavin3
 
"Debugging python applications inside k8s environment", Andrii Soldatenko
"Debugging python applications inside k8s environment", Andrii Soldatenko"Debugging python applications inside k8s environment", Andrii Soldatenko
"Debugging python applications inside k8s environment", Andrii SoldatenkoFwdays
 
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)Mark Simos
 
WordPress Websites for Engineers: Elevate Your Brand
WordPress Websites for Engineers: Elevate Your BrandWordPress Websites for Engineers: Elevate Your Brand
WordPress Websites for Engineers: Elevate Your Brandgvaughan
 
Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!Commit University
 
H2O.ai CEO/Founder: Sri Ambati Keynote at Wells Fargo Day
H2O.ai CEO/Founder: Sri Ambati Keynote at Wells Fargo DayH2O.ai CEO/Founder: Sri Ambati Keynote at Wells Fargo Day
H2O.ai CEO/Founder: Sri Ambati Keynote at Wells Fargo DaySri Ambati
 
Anypoint Exchange: It’s Not Just a Repo!
Anypoint Exchange: It’s Not Just a Repo!Anypoint Exchange: It’s Not Just a Repo!
Anypoint Exchange: It’s Not Just a Repo!Manik S Magar
 
How AI, OpenAI, and ChatGPT impact business and software.
How AI, OpenAI, and ChatGPT impact business and software.How AI, OpenAI, and ChatGPT impact business and software.
How AI, OpenAI, and ChatGPT impact business and software.Curtis Poe
 

Kürzlich hochgeladen (20)

Artificial intelligence in cctv survelliance.pptx
Artificial intelligence in cctv survelliance.pptxArtificial intelligence in cctv survelliance.pptx
Artificial intelligence in cctv survelliance.pptx
 
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage Cost
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage CostLeverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage Cost
Leverage Zilliz Serverless - Up to 50X Saving for Your Vector Storage Cost
 
Connect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck PresentationConnect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck Presentation
 
How to write a Business Continuity Plan
How to write a Business Continuity PlanHow to write a Business Continuity Plan
How to write a Business Continuity Plan
 
"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr Bagan"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr Bagan
 
E-Vehicle_Hacking_by_Parul Sharma_null_owasp.pptx
E-Vehicle_Hacking_by_Parul Sharma_null_owasp.pptxE-Vehicle_Hacking_by_Parul Sharma_null_owasp.pptx
E-Vehicle_Hacking_by_Parul Sharma_null_owasp.pptx
 
Designing IA for AI - Information Architecture Conference 2024
Designing IA for AI - Information Architecture Conference 2024Designing IA for AI - Information Architecture Conference 2024
Designing IA for AI - Information Architecture Conference 2024
 
Unraveling Multimodality with Large Language Models.pdf
Unraveling Multimodality with Large Language Models.pdfUnraveling Multimodality with Large Language Models.pdf
Unraveling Multimodality with Large Language Models.pdf
 
Scanning the Internet for External Cloud Exposures via SSL Certs
Scanning the Internet for External Cloud Exposures via SSL CertsScanning the Internet for External Cloud Exposures via SSL Certs
Scanning the Internet for External Cloud Exposures via SSL Certs
 
The Ultimate Guide to Choosing WordPress Pros and Cons
The Ultimate Guide to Choosing WordPress Pros and ConsThe Ultimate Guide to Choosing WordPress Pros and Cons
The Ultimate Guide to Choosing WordPress Pros and Cons
 
Commit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easyCommit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easy
 
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks..."LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
 
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptx
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptxMerck Moving Beyond Passwords: FIDO Paris Seminar.pptx
Merck Moving Beyond Passwords: FIDO Paris Seminar.pptx
 
"Debugging python applications inside k8s environment", Andrii Soldatenko
"Debugging python applications inside k8s environment", Andrii Soldatenko"Debugging python applications inside k8s environment", Andrii Soldatenko
"Debugging python applications inside k8s environment", Andrii Soldatenko
 
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
 
WordPress Websites for Engineers: Elevate Your Brand
WordPress Websites for Engineers: Elevate Your BrandWordPress Websites for Engineers: Elevate Your Brand
WordPress Websites for Engineers: Elevate Your Brand
 
Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!
 
H2O.ai CEO/Founder: Sri Ambati Keynote at Wells Fargo Day
H2O.ai CEO/Founder: Sri Ambati Keynote at Wells Fargo DayH2O.ai CEO/Founder: Sri Ambati Keynote at Wells Fargo Day
H2O.ai CEO/Founder: Sri Ambati Keynote at Wells Fargo Day
 
Anypoint Exchange: It’s Not Just a Repo!
Anypoint Exchange: It’s Not Just a Repo!Anypoint Exchange: It’s Not Just a Repo!
Anypoint Exchange: It’s Not Just a Repo!
 
How AI, OpenAI, and ChatGPT impact business and software.
How AI, OpenAI, and ChatGPT impact business and software.How AI, OpenAI, and ChatGPT impact business and software.
How AI, OpenAI, and ChatGPT impact business and software.
 

Tdm to vo ip 2

  • 1. Guided by Dr. Sunil Kumar. S. Manvi Project Members Abhiroop. M. N Asha. A. R Nagapooja. K Pavan. S
  • 2. component codecclk PORT ( inclk0 : IN STD_LOGIC ; c0 : OUT STD_LOGIC ); end component; Codec clkIn clk (25 Mhz) C0 (2.048 Mhz)
  • 3. component pll75m PORT ( inclk0 : IN STD_LOGIC ; c0 : OUT STD_LOGIC ); end component; PLL 75MhzIn clk (2.048 Mhz) C0 (75 Mhz)
  • 4. component packetmem PORT ( data : IN STD_LOGIC_VECTOR (7 DOWNTO 0); inclock : IN STD_LOGIC := '1'; outclock : IN STD_LOGIC ; rdaddress : IN STD_LOGIC_VECTOR (12 DOWNTO 0); wraddress : IN STD_LOGIC_VECTOR (12 DOWNTO 0); wren : IN STD_LOGIC := '0'; q : OUT STD_LOGIC_VECTOR (7 DOWNTO 0) ); end component; PLL 75Mhz data In clock Out clock WR Address RD Address WR Enable Q
  • 5. codecclk_inst : codecclk PORT MAP ( inclk0 => clkin, c0 => clk2048m ); pll75m_inst : pll75m PORT MAP ( inclk0 => clkin, c0 => clk75m );
  • 6. packetmem_init : packetmem PORT MAP( data => rxmemip, inclock => clk2048m, outclock => clk75m, rdaddress => (bytecnt(3 downto 0) +1) & (not bank) & rxmemwa, wraddress => bytecnt(3 downto 0) & bank & rxmemwa, wren => rxmemwe, q => rdatareg_1 ); bytecnt bank rxmemwa
  • 7. rdata <= CODEC_VOUT1 when (bytecnt =1 or bytecnt =2 or bytecnt =3 or bytecnt =4) else CODEC_VOUT2 when (bytecnt =5 or bytecnt =6 or bytecnt =7 or bytecnt =8) else CODEC_VOUT3 when (bytecnt =9 or bytecnt =10 or bytecnt =11 or bytecnt =12) else CODEC_VOUT4 when (bytecnt =13 or bytecnt =14 or bytecnt =15 or bytecnt =16) ; SYNC_D(1) <= '1' when (bytecnt =0 and bitcnt =7)else '0'; SYNC_D(2) <= '1' when (bytecnt =1 and bitcnt =7)else '0'; SYNC_D(3) <= '1' when (bytecnt =2 and bitcnt =7) else '0'; SYNC_D(4) <= '1' when (bytecnt =3 and bitcnt =7) else '0'; SYNC_D(5) <= '1' when (bytecnt =4 and bitcnt =7) else '0'; SYNC_D(6) <= '1' when (bytecnt =5 and bitcnt =7) else '0'; SYNC_D(7) <= '1' when (bytecnt =6 and bitcnt =7) else '0'; SYNC_D(8) <= '1' when (bytecnt =7 and bitcnt =7) else '0';
  • 8. 1 bit 1byte 160 bytes 1byte 1 bit Codec_Vout0 rdata tdatareg rdatareg Codec_Vin2 160 bytes Bank 0 Bank 1
  • 9. rx_sm1:process (clk2048m) begin if ( clk2048m 'event and clk2048m = '0') then rdata1 <= rdata; end if; end process; rx_sm:process (clk2048m) begin if ( clk2048m 'event and clk2048m='1') then if( int_reset = '1') then bitcnt <= (others=>'0'); bytecnt <= (others=>'0'); framecnt <= (others=>'0'); end if; if( framecnt = "10100000") then framecnt <= "00000000"; bank <= not bank; end if;
  • 10. if( bitcnt = 1) then rxmemip <= rdatareg ; rxmemwa <= framecnt; rxmemwab <= bank; rxmemwe <= '1'; else rxmemwe <= '0'; end if; end if; end if; else rdatareg1 <= rdatareg1(6 downto 0) & rdata1; bitcnt <= bitcnt + 1; if( bitcnt = 7) then if( bytecnt = framelength ) then bytecnt <= (others=>'0'); framecnt <= framecnt + 1; else bytecnt <= bytecnt + 1; end if; end if; if( bitcnt = 0) then rdatareg <= rdatareg1; end if;
  • 11. if ( clk2048m'event and clk2048m='1') then if( bitcnt = 7)then tdatareg0 <= rdatareg_1; else tdatareg0 <= tdatareg0(6 downto 0)& '0'; end if; end if; CODEC_VIN2 <= tdatareg0(7);