SlideShare ist ein Scribd-Unternehmen logo
1 von 40
Downloaden Sie, um offline zu lesen
放射線を自動計測してTwitterにつぶやく
     Imaocandeの紹介

      TwitterAPI勉強会(LT)

      2011年12月21日 (水曜日)

         今岡工学事務所

           今岡 通博
自己紹介




imaoca@gmail.com
今岡工学事務所

●   組込系ハード・ソフトの設計
●   FPGAを用いたカスタムLSIの設計
    –   計測用ステレオ・カメラの制御LSIの設計
    –   工業用インクジェットプリンタの制御用LSIの設計
        ●   商品ぱケージ用2次元バーコード印字装置
        ●
            メッキ用マスキング印刷装置
オープンソースコミュニティ活動
●   日本Androidの会コミュニティ運営委員
●   Android Bazaar & Conference
●   Hack4Japan
●   Make Tokyo Meeting #07
●   Wireless Japan 2011
●   Embedded Technology Japan 2011
●   Open Source Conference 2012 愛媛実行委員長
●   Java One
放射線量を自動計測

TwitterにつぶやくImaocande
Software Design 2011年9月号

   2011年8月18日発売
    B5判/184ページ
 定価1,280円(本体1,219円)

「Androidエンジニアからの招待状」
  感想は@gihyojp ,sd@gijyo.co.jpまで
Androidアプリ制作:中山さん
Twitter : @jumpeinakayama
Androidアプリデザイン : 岩倉@女子
Twitter : @zamuu
@IT「サーバサイドJavaScriptの本命「Node.js」の基礎知識」の著者である森
さんが作成したアプリです。
告知が何件かあります。
第7回ガイガーカウンター勉強会


●   12月23日(祝日) 13:00より
●   秋葉原 若松通商 ネット館6F
●   参加費 部屋代を人数割りします
OSC2012@愛媛プレ企画
            実証実験
●
          青春18切符でその日のうちに
    12月24日(クリスマスイブ) 
         東京から松山までたどり着けるか
●   品川発05:10
●   青春18切符ご持参でご参加ください。
御清聴ありがとうございました。
仕様
●   UDPパケットを送信
●   マイコンのI/Oの操作のみでパケットを生成
●   10BASE-T
●   リンクパルス対応
これを実装するための戦略
           ===どの機能を残して何をあきらめたか===

●   8bit マイコン Atmega328を20MHzで動作
●   汎用のIPスタックを実現するのは無理
●   UDPパケットを送信(受信はしません)
●   静的なパケットしか生成できない
●   マイコンのI/Oの操作のみでパケットを生成
●   ARPなどサポートしない
●   CSMA/CD未対応(スイッチングHUBを前提)
    –   Carrier Sense Multiple Access with Collision
        Detection
1bit送るプログラム
reset:
RESET:
    ldi r17,0xff
    OUT      DDRB,R17
    LDI r16,0xaa
    LDi r17,0x55
transmit:
    out portb,r16     ;LOW
    out portb,r17     ;HI

1バイト分のプリアンプルを送ってみる
  out portb,r16 ; 1
  out portb,r17

   out portb,r17     ;   0
   out portb,r16

   以下同文、これを32回繰り返す。
プリアンプル                 8
        相手MACアドレス 6
        元MACアドレス 6
        タイプ                    2
        データ                  46
        FCS                   4
        -------------------------------
                              72




●   72バイトは576ビット 1ビット送るのに2命令必要だ
    から1152ステップのプログラム
●   Atmega328だと13パケットくらい格納できる
Androidを用いたパーソナル・モバイル
     モニタリングポストの実現
電子工作マガジン 2011秋号

  2011年9月17日発売

定価1,260円(本体1,200円)

線量自動計測ボットImaocande
    の製作記事
クラウドを利用した観測機器の
    校正アイデア
まとめ
福島原発事故以来、放射線の関心が高まっているな
か、放射線を測定する機器が高価なこともあり必要な
人々に行き渡っていないのが、現状であろう。そこで、
本セッションではAndroidデバイスを用いた比較的安価
な放射線測定機器の作製事例について紹介する。ま
た、クラウドも用いて測定した値の蓄積や共有、またク
ラウドにつなげた放射線測定器の校正に関するアイデ
アも紹介する。
ご清聴ありがとうございました。
Androidが変えてしまった
          日本の産業と未来

●   Androidが日本の産業を変えてしまったのか
●   Androidの未来とは
NINJA
(Nuclear Inspector's Network JApan)
目的:原発事故被災者に安全安心を提供する
●
    安価な放射線センサーの提供
●   Androidを用いた安価な線量計の提供
●   Androidとクラウドを活用した放射線観測網の構築
●   クラウドを用いた校正技術の確立
●   各地でのセミナー及びワークショップの開催
ドロンくん

Androidデバイスを
用いた音声認識ロボット
http://www.ospn.jp/press/201105
16no10-useit-oss.html

・音声認識はGoogle音声認識サー
ビスを利用、クラウドロボテッィック
スをいち早く具現化

・モータのコントロールはDTMFを利
用、ADK以前のソリューションとして
は最も低コスト

・電子回路はブレッドボードで構成

・オープンソースハードウエア/
オープンソースソフトウエア

Weitere ähnliche Inhalte

Was ist angesagt?

ACRi_webinar_20220118_miyo
ACRi_webinar_20220118_miyoACRi_webinar_20220118_miyo
ACRi_webinar_20220118_miyoTakefumi MIYOSHI
 
FPGAによるHDMI to LVDS変換器
FPGAによるHDMI to LVDS変換器FPGAによるHDMI to LVDS変換器
FPGAによるHDMI to LVDS変換器I_HaL
 
FPGAでベンチマークしたときに苦労した話@fpgax#12
FPGAでベンチマークしたときに苦労した話@fpgax#12FPGAでベンチマークしたときに苦労した話@fpgax#12
FPGAでベンチマークしたときに苦労した話@fpgax#12Jun Ando
 
FPGA startup 第一回 LT
FPGA startup 第一回 LTFPGA startup 第一回 LT
FPGA startup 第一回 LTYamato Kazuhiro
 
FPGAを用いたEdge AIの現状
FPGAを用いたEdge AIの現状FPGAを用いたEdge AIの現状
FPGAを用いたEdge AIの現状Yukitaka Takemura
 
FPGAスタートアップ資料
FPGAスタートアップ資料FPGAスタートアップ資料
FPGAスタートアップ資料marsee101
 
Arduino 習作工坊 - Lesson 5 機械之夜
Arduino 習作工坊 - Lesson 5 機械之夜Arduino 習作工坊 - Lesson 5 機械之夜
Arduino 習作工坊 - Lesson 5 機械之夜CAVEDU Education
 
高速シリアル通信を支える技術
高速シリアル通信を支える技術高速シリアル通信を支える技術
高速シリアル通信を支える技術Natsutani Minoru
 
20200519 IoTLT vol.63 kitazaki v1
20200519 IoTLT vol.63 kitazaki v120200519 IoTLT vol.63 kitazaki v1
20200519 IoTLT vol.63 kitazaki v1Ayachika Kitazaki
 
Ubuntuをインストールしたzyboボードにカメラを付けてopen cvで顔認識
Ubuntuをインストールしたzyboボードにカメラを付けてopen cvで顔認識Ubuntuをインストールしたzyboボードにカメラを付けてopen cvで顔認識
Ubuntuをインストールしたzyboボードにカメラを付けてopen cvで顔認識marsee101
 
Analog Devices の IP コアを使う
Analog Devices の IP コアを使うAnalog Devices の IP コアを使う
Analog Devices の IP コアを使うryos36
 
UVLOの等価回路モデル作成方法
UVLOの等価回路モデル作成方法UVLOの等価回路モデル作成方法
UVLOの等価回路モデル作成方法Tsuyoshi Horigome
 
PYNQ 祭り: Pmod のプログラミング
PYNQ 祭り: Pmod のプログラミングPYNQ 祭り: Pmod のプログラミング
PYNQ 祭り: Pmod のプログラミングryos36
 

Was ist angesagt? (20)

ACRi_webinar_20220118_miyo
ACRi_webinar_20220118_miyoACRi_webinar_20220118_miyo
ACRi_webinar_20220118_miyo
 
FPGAによるHDMI to LVDS変換器
FPGAによるHDMI to LVDS変換器FPGAによるHDMI to LVDS変換器
FPGAによるHDMI to LVDS変換器
 
FPGAでベンチマークしたときに苦労した話@fpgax#12
FPGAでベンチマークしたときに苦労した話@fpgax#12FPGAでベンチマークしたときに苦労した話@fpgax#12
FPGAでベンチマークしたときに苦労した話@fpgax#12
 
RSQB Flip Flopの等価回路モデル
RSQB Flip Flopの等価回路モデルRSQB Flip Flopの等価回路モデル
RSQB Flip Flopの等価回路モデル
 
JTAG入門
JTAG入門JTAG入門
JTAG入門
 
FPGA startup 第一回 LT
FPGA startup 第一回 LTFPGA startup 第一回 LT
FPGA startup 第一回 LT
 
FPGAを用いたEdge AIの現状
FPGAを用いたEdge AIの現状FPGAを用いたEdge AIの現状
FPGAを用いたEdge AIの現状
 
Facility monitor
Facility monitorFacility monitor
Facility monitor
 
Facility monitor
Facility monitorFacility monitor
Facility monitor
 
JTAGを使ってみよう
JTAGを使ってみようJTAGを使ってみよう
JTAGを使ってみよう
 
FPGAスタートアップ資料
FPGAスタートアップ資料FPGAスタートアップ資料
FPGAスタートアップ資料
 
Arduino 習作工坊 - Lesson 5 機械之夜
Arduino 習作工坊 - Lesson 5 機械之夜Arduino 習作工坊 - Lesson 5 機械之夜
Arduino 習作工坊 - Lesson 5 機械之夜
 
高速シリアル通信を支える技術
高速シリアル通信を支える技術高速シリアル通信を支える技術
高速シリアル通信を支える技術
 
20200519 IoTLT vol.63 kitazaki v1
20200519 IoTLT vol.63 kitazaki v120200519 IoTLT vol.63 kitazaki v1
20200519 IoTLT vol.63 kitazaki v1
 
Gpu vs fpga
Gpu vs fpgaGpu vs fpga
Gpu vs fpga
 
Ubuntuをインストールしたzyboボードにカメラを付けてopen cvで顔認識
Ubuntuをインストールしたzyboボードにカメラを付けてopen cvで顔認識Ubuntuをインストールしたzyboボードにカメラを付けてopen cvで顔認識
Ubuntuをインストールしたzyboボードにカメラを付けてopen cvで顔認識
 
Analog Devices の IP コアを使う
Analog Devices の IP コアを使うAnalog Devices の IP コアを使う
Analog Devices の IP コアを使う
 
UVLOの等価回路モデル作成方法
UVLOの等価回路モデル作成方法UVLOの等価回路モデル作成方法
UVLOの等価回路モデル作成方法
 
PYNQ 祭り: Pmod のプログラミング
PYNQ 祭り: Pmod のプログラミングPYNQ 祭り: Pmod のプログラミング
PYNQ 祭り: Pmod のプログラミング
 
Google 20130218
Google 20130218Google 20130218
Google 20130218
 

Ähnlich wie Imaocande LT

第162回情報処理学会ハイパフォーマンスコンピューティング研究発表会
第162回情報処理学会ハイパフォーマンスコンピューティング研究発表会第162回情報処理学会ハイパフォーマンスコンピューティング研究発表会
第162回情報処理学会ハイパフォーマンスコンピューティング研究発表会Hitoshi Sato
 
Singularityで分散深層学習
Singularityで分散深層学習Singularityで分散深層学習
Singularityで分散深層学習Hitoshi Sato
 
2016年12月21日 AITCシニア技術者勉強会 第2回「センサに反応する総天然色イルミネーションを作ってみよう!」
2016年12月21日 AITCシニア技術者勉強会 第2回「センサに反応する総天然色イルミネーションを作ってみよう!」 2016年12月21日 AITCシニア技術者勉強会 第2回「センサに反応する総天然色イルミネーションを作ってみよう!」
2016年12月21日 AITCシニア技術者勉強会 第2回「センサに反応する総天然色イルミネーションを作ってみよう!」 aitc_jp
 
Movidius Neral Compute Stickを使ってみた
Movidius Neral Compute Stickを使ってみたMovidius Neral Compute Stickを使ってみた
Movidius Neral Compute Stickを使ってみたNISHIMOTO Keisuke
 
イチからはじめるADK北海道支部勉強会発表資料
イチからはじめるADK北海道支部勉強会発表資料イチからはじめるADK北海道支部勉強会発表資料
イチからはじめるADK北海道支部勉強会発表資料Kenichi Yoshida
 
Node-RED勉強会(20160826)追補
Node-RED勉強会(20160826)追補Node-RED勉強会(20160826)追補
Node-RED勉強会(20160826)追補Takashi Ariyama
 
第2回IoT勉強会 in 岡山 | 2部 IoTデバイスを触ってみよう!
第2回IoT勉強会 in 岡山 | 2部 IoTデバイスを触ってみよう!第2回IoT勉強会 in 岡山 | 2部 IoTデバイスを触ってみよう!
第2回IoT勉強会 in 岡山 | 2部 IoTデバイスを触ってみよう!NISHIMOTO Keisuke
 
コードを書かずにLチカ。から始めよう
コードを書かずにLチカ。から始めようコードを書かずにLチカ。から始めよう
コードを書かずにLチカ。から始めようShin-ya Koga
 
ラズパイでIoTをやってみよう! | なぜ今IoTなのか?
ラズパイでIoTをやってみよう! | なぜ今IoTなのか?ラズパイでIoTをやってみよう! | なぜ今IoTなのか?
ラズパイでIoTをやってみよう! | なぜ今IoTなのか?SORACOM,INC
 
Python, RaspberryPi, Arduinoで作る消費電力モニタリングシステム
Python, RaspberryPi, Arduinoで作る消費電力モニタリングシステムPython, RaspberryPi, Arduinoで作る消費電力モニタリングシステム
Python, RaspberryPi, Arduinoで作る消費電力モニタリングシステムJunichi Kakisako
 
OSC Tokyo 2013 Spring JRPUG
OSC Tokyo 2013 Spring JRPUGOSC Tokyo 2013 Spring JRPUG
OSC Tokyo 2013 Spring JRPUGHideki Aoshima
 
Platform io で シュッと arduino 開発を高速化しよう speed up your arduino development with p...
Platform io で シュッと arduino 開発を高速化しよう speed up your arduino development with p...Platform io で シュッと arduino 開発を高速化しよう speed up your arduino development with p...
Platform io で シュッと arduino 開発を高速化しよう speed up your arduino development with p...74th
 
SORACOM UG 広島 #2 | SORACOM の紹介から SORACOM Conference "Discovery" 2018 SORACOM ...
SORACOM UG 広島 #2 | SORACOM の紹介から SORACOM Conference "Discovery" 2018 SORACOM ...SORACOM UG 広島 #2 | SORACOM の紹介から SORACOM Conference "Discovery" 2018 SORACOM ...
SORACOM UG 広島 #2 | SORACOM の紹介から SORACOM Conference "Discovery" 2018 SORACOM ...SORACOM,INC
 
20221215_EIoTLT_vol18_kitazaki_v1.pdf
20221215_EIoTLT_vol18_kitazaki_v1.pdf20221215_EIoTLT_vol18_kitazaki_v1.pdf
20221215_EIoTLT_vol18_kitazaki_v1.pdfAyachika Kitazaki
 
201110 01 Polytech Center 1
201110 01 Polytech Center 1201110 01 Polytech Center 1
201110 01 Polytech Center 1openrtm
 
OSC 2012 Hokkaido でのプレゼン資料
OSC 2012 Hokkaido でのプレゼン資料OSC 2012 Hokkaido でのプレゼン資料
OSC 2012 Hokkaido でのプレゼン資料Shin-ya Koga
 
PyCoRAMを用いたグラフ処理FPGAアクセラレータ
PyCoRAMを用いたグラフ処理FPGAアクセラレータPyCoRAMを用いたグラフ処理FPGAアクセラレータ
PyCoRAMを用いたグラフ処理FPGAアクセラレータShinya Takamaeda-Y
 
2014 1018 OSC-Fall Tokyo NETMF
2014 1018 OSC-Fall Tokyo NETMF2014 1018 OSC-Fall Tokyo NETMF
2014 1018 OSC-Fall Tokyo NETMFAtomu Hidaka
 

Ähnlich wie Imaocande LT (20)

第162回情報処理学会ハイパフォーマンスコンピューティング研究発表会
第162回情報処理学会ハイパフォーマンスコンピューティング研究発表会第162回情報処理学会ハイパフォーマンスコンピューティング研究発表会
第162回情報処理学会ハイパフォーマンスコンピューティング研究発表会
 
Singularityで分散深層学習
Singularityで分散深層学習Singularityで分散深層学習
Singularityで分散深層学習
 
Introduction of FPGA
Introduction of FPGAIntroduction of FPGA
Introduction of FPGA
 
2016年12月21日 AITCシニア技術者勉強会 第2回「センサに反応する総天然色イルミネーションを作ってみよう!」
2016年12月21日 AITCシニア技術者勉強会 第2回「センサに反応する総天然色イルミネーションを作ってみよう!」 2016年12月21日 AITCシニア技術者勉強会 第2回「センサに反応する総天然色イルミネーションを作ってみよう!」
2016年12月21日 AITCシニア技術者勉強会 第2回「センサに反応する総天然色イルミネーションを作ってみよう!」
 
GTC Japan 2017
GTC Japan 2017GTC Japan 2017
GTC Japan 2017
 
Movidius Neral Compute Stickを使ってみた
Movidius Neral Compute Stickを使ってみたMovidius Neral Compute Stickを使ってみた
Movidius Neral Compute Stickを使ってみた
 
イチからはじめるADK北海道支部勉強会発表資料
イチからはじめるADK北海道支部勉強会発表資料イチからはじめるADK北海道支部勉強会発表資料
イチからはじめるADK北海道支部勉強会発表資料
 
Node-RED勉強会(20160826)追補
Node-RED勉強会(20160826)追補Node-RED勉強会(20160826)追補
Node-RED勉強会(20160826)追補
 
第2回IoT勉強会 in 岡山 | 2部 IoTデバイスを触ってみよう!
第2回IoT勉強会 in 岡山 | 2部 IoTデバイスを触ってみよう!第2回IoT勉強会 in 岡山 | 2部 IoTデバイスを触ってみよう!
第2回IoT勉強会 in 岡山 | 2部 IoTデバイスを触ってみよう!
 
コードを書かずにLチカ。から始めよう
コードを書かずにLチカ。から始めようコードを書かずにLチカ。から始めよう
コードを書かずにLチカ。から始めよう
 
ラズパイでIoTをやってみよう! | なぜ今IoTなのか?
ラズパイでIoTをやってみよう! | なぜ今IoTなのか?ラズパイでIoTをやってみよう! | なぜ今IoTなのか?
ラズパイでIoTをやってみよう! | なぜ今IoTなのか?
 
Python, RaspberryPi, Arduinoで作る消費電力モニタリングシステム
Python, RaspberryPi, Arduinoで作る消費電力モニタリングシステムPython, RaspberryPi, Arduinoで作る消費電力モニタリングシステム
Python, RaspberryPi, Arduinoで作る消費電力モニタリングシステム
 
OSC Tokyo 2013 Spring JRPUG
OSC Tokyo 2013 Spring JRPUGOSC Tokyo 2013 Spring JRPUG
OSC Tokyo 2013 Spring JRPUG
 
Platform io で シュッと arduino 開発を高速化しよう speed up your arduino development with p...
Platform io で シュッと arduino 開発を高速化しよう speed up your arduino development with p...Platform io で シュッと arduino 開発を高速化しよう speed up your arduino development with p...
Platform io で シュッと arduino 開発を高速化しよう speed up your arduino development with p...
 
SORACOM UG 広島 #2 | SORACOM の紹介から SORACOM Conference "Discovery" 2018 SORACOM ...
SORACOM UG 広島 #2 | SORACOM の紹介から SORACOM Conference "Discovery" 2018 SORACOM ...SORACOM UG 広島 #2 | SORACOM の紹介から SORACOM Conference "Discovery" 2018 SORACOM ...
SORACOM UG 広島 #2 | SORACOM の紹介から SORACOM Conference "Discovery" 2018 SORACOM ...
 
20221215_EIoTLT_vol18_kitazaki_v1.pdf
20221215_EIoTLT_vol18_kitazaki_v1.pdf20221215_EIoTLT_vol18_kitazaki_v1.pdf
20221215_EIoTLT_vol18_kitazaki_v1.pdf
 
201110 01 Polytech Center 1
201110 01 Polytech Center 1201110 01 Polytech Center 1
201110 01 Polytech Center 1
 
OSC 2012 Hokkaido でのプレゼン資料
OSC 2012 Hokkaido でのプレゼン資料OSC 2012 Hokkaido でのプレゼン資料
OSC 2012 Hokkaido でのプレゼン資料
 
PyCoRAMを用いたグラフ処理FPGAアクセラレータ
PyCoRAMを用いたグラフ処理FPGAアクセラレータPyCoRAMを用いたグラフ処理FPGAアクセラレータ
PyCoRAMを用いたグラフ処理FPGAアクセラレータ
 
2014 1018 OSC-Fall Tokyo NETMF
2014 1018 OSC-Fall Tokyo NETMF2014 1018 OSC-Fall Tokyo NETMF
2014 1018 OSC-Fall Tokyo NETMF
 

Mehr von Imaoka Micihihiro

Parallel Empire and The Serial Empire
Parallel Empire and The Serial EmpireParallel Empire and The Serial Empire
Parallel Empire and The Serial EmpireImaoka Micihihiro
 
パラレル帝国とシリアル皇国(the Parallel Empire and the Serial Empire.)
パラレル帝国とシリアル皇国(the Parallel Empire and the Serial Empire.)パラレル帝国とシリアル皇国(the Parallel Empire and the Serial Empire.)
パラレル帝国とシリアル皇国(the Parallel Empire and the Serial Empire.)Imaoka Micihihiro
 
la notificacion de la temperature remote
la notificacion de la temperature remotela notificacion de la temperature remote
la notificacion de la temperature remoteImaoka Micihihiro
 
遠隔地の温度をスマホで表示
遠隔地の温度をスマホで表示遠隔地の温度をスマホで表示
遠隔地の温度をスマホで表示Imaoka Micihihiro
 
Observation of the temperature of remote
Observation of the temperature of remoteObservation of the temperature of remote
Observation of the temperature of remoteImaoka Micihihiro
 
Cómo controlar el LED remoto
Cómo controlar el LED remotoCómo controlar el LED remoto
Cómo controlar el LED remotoImaoka Micihihiro
 
超お手軽!スマホでLEDを遠隔操作
超お手軽!スマホでLEDを遠隔操作超お手軽!スマホでLEDを遠隔操作
超お手軽!スマホでLEDを遠隔操作Imaoka Micihihiro
 
How to control remote LED at the easiest and cheapest with Azure
How to control remote LED at the easiest and cheapest with AzureHow to control remote LED at the easiest and cheapest with Azure
How to control remote LED at the easiest and cheapest with AzureImaoka Micihihiro
 
Lチカからはじめるfpga入門
Lチカからはじめるfpga入門Lチカからはじめるfpga入門
Lチカからはじめるfpga入門Imaoka Micihihiro
 
Attempt of implementation of neural network model on FPGA
Attempt of implementation of neural network model on FPGAAttempt of implementation of neural network model on FPGA
Attempt of implementation of neural network model on FPGAImaoka Micihihiro
 
NN系学習済みモデルをFPGAに実装してみた
NN系学習済みモデルをFPGAに実装してみたNN系学習済みモデルをFPGAに実装してみた
NN系学習済みモデルをFPGAに実装してみたImaoka Micihihiro
 
第9回セキュリティみかんlt
第9回セキュリティみかんlt第9回セキュリティみかんlt
第9回セキュリティみかんltImaoka Micihihiro
 
1st fpga startup seminar keynote
1st fpga startup seminar keynote1st fpga startup seminar keynote
1st fpga startup seminar keynoteImaoka Micihihiro
 
BigうんちDATA インタラクティブトイレの考察と実践
BigうんちDATA インタラクティブトイレの考察と実践BigうんちDATA インタラクティブトイレの考察と実践
BigうんちDATA インタラクティブトイレの考察と実践Imaoka Micihihiro
 
How to make a cutting wiring board by desktop CNC
How to make a cutting wiring board by desktop CNCHow to make a cutting wiring board by desktop CNC
How to make a cutting wiring board by desktop CNCImaoka Micihihiro
 
Raspberry Pi Rover + Scratch = IMAOCACH
Raspberry Pi Rover + Scratch = IMAOCACHRaspberry Pi Rover + Scratch = IMAOCACH
Raspberry Pi Rover + Scratch = IMAOCACHImaoka Micihihiro
 

Mehr von Imaoka Micihihiro (20)

Parallel Empire and The Serial Empire
Parallel Empire and The Serial EmpireParallel Empire and The Serial Empire
Parallel Empire and The Serial Empire
 
パラレル帝国とシリアル皇国(the Parallel Empire and the Serial Empire.)
パラレル帝国とシリアル皇国(the Parallel Empire and the Serial Empire.)パラレル帝国とシリアル皇国(the Parallel Empire and the Serial Empire.)
パラレル帝国とシリアル皇国(the Parallel Empire and the Serial Empire.)
 
Cybozu lt2017
Cybozu lt2017Cybozu lt2017
Cybozu lt2017
 
la notificacion de la temperature remote
la notificacion de la temperature remotela notificacion de la temperature remote
la notificacion de la temperature remote
 
遠隔地の温度をスマホで表示
遠隔地の温度をスマホで表示遠隔地の温度をスマホで表示
遠隔地の温度をスマホで表示
 
Observation of the temperature of remote
Observation of the temperature of remoteObservation of the temperature of remote
Observation of the temperature of remote
 
Cómo controlar el LED remoto
Cómo controlar el LED remotoCómo controlar el LED remoto
Cómo controlar el LED remoto
 
超お手軽!スマホでLEDを遠隔操作
超お手軽!スマホでLEDを遠隔操作超お手軽!スマホでLEDを遠隔操作
超お手軽!スマホでLEDを遠隔操作
 
How to control remote LED at the easiest and cheapest with Azure
How to control remote LED at the easiest and cheapest with AzureHow to control remote LED at the easiest and cheapest with Azure
How to control remote LED at the easiest and cheapest with Azure
 
Security camp cpu
Security camp cpuSecurity camp cpu
Security camp cpu
 
Lチカからはじめるfpga入門
Lチカからはじめるfpga入門Lチカからはじめるfpga入門
Lチカからはじめるfpga入門
 
Attempt of implementation of neural network model on FPGA
Attempt of implementation of neural network model on FPGAAttempt of implementation of neural network model on FPGA
Attempt of implementation of neural network model on FPGA
 
NN系学習済みモデルをFPGAに実装してみた
NN系学習済みモデルをFPGAに実装してみたNN系学習済みモデルをFPGAに実装してみた
NN系学習済みモデルをFPGAに実装してみた
 
第9回セキュリティみかんlt
第9回セキュリティみかんlt第9回セキュリティみかんlt
第9回セキュリティみかんlt
 
1st fpga startup seminar keynote
1st fpga startup seminar keynote1st fpga startup seminar keynote
1st fpga startup seminar keynote
 
BigうんちDATA インタラクティブトイレの考察と実践
BigうんちDATA インタラクティブトイレの考察と実践BigうんちDATA インタラクティブトイレの考察と実践
BigうんちDATA インタラクティブトイレの考察と実践
 
How to make a cutting wiring board by desktop CNC
How to make a cutting wiring board by desktop CNCHow to make a cutting wiring board by desktop CNC
How to make a cutting wiring board by desktop CNC
 
Gatito
GatitoGatito
Gatito
 
Sakura20141017a
Sakura20141017aSakura20141017a
Sakura20141017a
 
Raspberry Pi Rover + Scratch = IMAOCACH
Raspberry Pi Rover + Scratch = IMAOCACHRaspberry Pi Rover + Scratch = IMAOCACH
Raspberry Pi Rover + Scratch = IMAOCACH
 

Kürzlich hochgeladen

プレイマットのパターン生成支援ツール
プレイマットのパターン生成支援ツールプレイマットのパターン生成支援ツール
プレイマットのパターン生成支援ツールsugiuralab
 
20240412_HCCJP での Windows Server 2025 Active Directory
20240412_HCCJP での Windows Server 2025 Active Directory20240412_HCCJP での Windows Server 2025 Active Directory
20240412_HCCJP での Windows Server 2025 Active Directoryosamut
 
IoT in the era of generative AI, Thanks IoT ALGYAN.pptx
IoT in the era of generative AI, Thanks IoT ALGYAN.pptxIoT in the era of generative AI, Thanks IoT ALGYAN.pptx
IoT in the era of generative AI, Thanks IoT ALGYAN.pptxAtomu Hidaka
 
新人研修のまとめ 2024/04/12の勉強会で発表されたものです。
新人研修のまとめ       2024/04/12の勉強会で発表されたものです。新人研修のまとめ       2024/04/12の勉強会で発表されたものです。
新人研修のまとめ 2024/04/12の勉強会で発表されたものです。iPride Co., Ltd.
 
PHP-Conference-Odawara-2024-04-000000000
PHP-Conference-Odawara-2024-04-000000000PHP-Conference-Odawara-2024-04-000000000
PHP-Conference-Odawara-2024-04-000000000Shota Ito
 
Amazon SES を勉強してみる その12024/04/12の勉強会で発表されたものです。
Amazon SES を勉強してみる その12024/04/12の勉強会で発表されたものです。Amazon SES を勉強してみる その12024/04/12の勉強会で発表されたものです。
Amazon SES を勉強してみる その12024/04/12の勉強会で発表されたものです。iPride Co., Ltd.
 
プレイマットのパターン生成支援ツールの評価
プレイマットのパターン生成支援ツールの評価プレイマットのパターン生成支援ツールの評価
プレイマットのパターン生成支援ツールの評価sugiuralab
 

Kürzlich hochgeladen (7)

プレイマットのパターン生成支援ツール
プレイマットのパターン生成支援ツールプレイマットのパターン生成支援ツール
プレイマットのパターン生成支援ツール
 
20240412_HCCJP での Windows Server 2025 Active Directory
20240412_HCCJP での Windows Server 2025 Active Directory20240412_HCCJP での Windows Server 2025 Active Directory
20240412_HCCJP での Windows Server 2025 Active Directory
 
IoT in the era of generative AI, Thanks IoT ALGYAN.pptx
IoT in the era of generative AI, Thanks IoT ALGYAN.pptxIoT in the era of generative AI, Thanks IoT ALGYAN.pptx
IoT in the era of generative AI, Thanks IoT ALGYAN.pptx
 
新人研修のまとめ 2024/04/12の勉強会で発表されたものです。
新人研修のまとめ       2024/04/12の勉強会で発表されたものです。新人研修のまとめ       2024/04/12の勉強会で発表されたものです。
新人研修のまとめ 2024/04/12の勉強会で発表されたものです。
 
PHP-Conference-Odawara-2024-04-000000000
PHP-Conference-Odawara-2024-04-000000000PHP-Conference-Odawara-2024-04-000000000
PHP-Conference-Odawara-2024-04-000000000
 
Amazon SES を勉強してみる その12024/04/12の勉強会で発表されたものです。
Amazon SES を勉強してみる その12024/04/12の勉強会で発表されたものです。Amazon SES を勉強してみる その12024/04/12の勉強会で発表されたものです。
Amazon SES を勉強してみる その12024/04/12の勉強会で発表されたものです。
 
プレイマットのパターン生成支援ツールの評価
プレイマットのパターン生成支援ツールの評価プレイマットのパターン生成支援ツールの評価
プレイマットのパターン生成支援ツールの評価
 

Imaocande LT