SlideShare ist ein Scribd-Unternehmen logo
1 von 12
Downloaden Sie, um offline zu lesen
Computer Engineering and Intelligent Systems                                                             www.iiste.org
ISSN 2222-1719 (Paper) ISSN 2222-2863 (Online)
                                   2863
Vol 3, No.8, 2012



         Implementation of Resource Sharing Strategy for Power
                          Optimization in Embedded Processors
                                     Kiritkumar Bhatt 1* Prof. A I Trivedi2
1. Electronics & Communication Engineering Department, Sardar Vallabhbhai Patel Institute of Technology –
                                         Vasad -388306, Gujarat – India.
2. Electrical Engineering Department, Faculty of Technology & Engineering, M S University of Baroda – 390001,
        rical
                                                  Gujarat, India.
                          *Email of corresponding author
                                                   author:     Krbhattec@gmail.com

Abstract
The processors are better suited to the diverse applications in daily life ranging from small toys to complex
automated systems. For better mobility and reliability; the longer battery life is an essential need. Improvement
in power efficiency of the processor is achieved by implementing resource sharing logic at the hardware level.
This paper discusses the modified architecture of a 32 - bit RISC processor having four - pipeline stages. Here
power improvement is achieved by implementing the proposed technique called resource sharing at th hardware
                                                                                                       the
level and results are verified satisfactorily. The proposed work is simulated, synthesized, tested and verified by
using tools such as VHDL simulator, Xilinx Sparten – 3E FPGA, ModelSim SE-6.5 and Xilinx ISE – 13.1 tool
                                                                                    6.5
and XPower Analyzer for power estimation and analysis purpose.
                             ower
Keywords: 32 – bit Low Power Processor , Power Efficient Embedded Processor, Resource Sharing, Low           Low-Power
Architecture, low – power design, low – power system, power minimization, power optimization, system design.

1. Introduction
The continuous increase in power consumption with each successive generation of processors has started to
affect the system size and cost so badly that this power/performance trade off has become increasingly difficult
                                                                        trade-off
to justify in a competitive market. The processor complexity leads to demand more computation which in turn
                       titive
further increases total power consumption in the system and the power consumption impacts the system design.
The trend in the desktop world of continuous growth in complexity and size of the underlying CPU in terms of
                                                           complexity
instruction issue strategies and the supporting micro
                                                  micro-architecture needs to be re-examined for these devices, as
                                                                                    examined
the tradeoffs in energy consumption versus the improved performance obtained may achieved by a different set
of design choices. Power consumption arises as a third axis in the optimization space in addition to the
traditional speed (performance) and area (cost) Dimensions.
      Improvements in circuit density and the corresponding increase in heat generation must be addressed even
                                                                                 generation
for high-end desktop systems. Current trends in technology scaling of CMOS circuits cannot be reliably
          end
sustained without addressing power consumption issues. Environmental concerns relating to energy consumption
by computers and other electrical equipment are another reason for interest in low power designs and design
                 nd                                                                 low-power
techniques. Hence, designing a low power processor is very important in today’s mobile devices. The greatest
                                   low-power
advantage of it is the extension of device battery life and another one is the reduction in switching current which
                                                   life
leads to increase the reliability of the device.
      This processor design is based on 32 bit RISC principle and it emphasis on load/store mechanism, which is
                                           32-bit
used to eliminate the latency of memory operations. The processor has many registers, and operations are
                                      memory
performed on data present in the registers. This paper focus on design and implementation of the processor based
on pipelining and the modifications has been introduced in the data path at the hardware level to reduce the
dynamic power dissipation which can be expressed by (K R Bhatt et al 2011,,Jevtic 2008).


                                                                                                       (1)


Where C is output node capacitance, VDD is supply voltage and E(sw) is the average number of transistors in
the circuit per cycle and fclk is the clock frequency. In this design power reduction is achieved by sharing the
common available resources frequently instead of deploying a dedicated resource in the hardware, this strategy
                                         instead
reduces the unnecessary switching activity (Esw)(Collange 2009, Steve Furber 2007). Further sections discuss
the implementation of this technique for which one has to redefine certain instructions and the relevant logic is to
                                                                           instructions


                                                         35
Computer Engineering and Intelligent Systems                                                            www.iiste.org
ISSN 2222-1719 (Paper) ISSN 2222-2863 (Online)
                                   2863
Vol 3, No.8, 2012

be implemented at the hardware level.
                                  el.

2. Low – Power CPU Design
The architecture of processor is given in Fig.1, which consists of four stage pipeline. These stages are instruction
fetch, decode, and execute and writ back. It also incorporates major units such as Data path, Control logic,
                                    write
Memory and register blocks, the Data Forward Unit and the Hazard Detection Unit to maintain the proper flow
of data through the pipeline stages (Kiritkumar Bhatt et al 2012, D Patterson 2005). The different stages of
                                                                                            .
pipeline architecture are separated by the pipeline registers.
2.1 Data path:
The pipeline stages for different type of instructions mentioned in Table 1 are shown in the Fig. 2. In the fetch
stage, instructions are fetched at every cycle from the instruction memory whose address is pointed by the
                             ched
program counter (PC). During the decode stage, the registers are read from the register file and the op    op-code is
passed to the control unit which asserts the required control signals. Sign extension is taken care for the
                                                                      signals.
calculation of effective address. In the execute stage, for Register type instructions, the ALU operations are
performed according to the ALU operation control signals and for load and store instructions, effecti address
                                                                                                    effective
calculation is done. The load and store instructions write to and read from the data memory using the data
forwarding logic while the ALU results and the data read from the data memory are written in to the register file
by the register type and load instructions respectively in the write
                                                                  write-back stage (Pande et.al.2008,Gautam P 2009,
L. Benini 2000).
      The data-path contains pipeline registers in between each of the stages. These registers are used to
                               s                      in-between
carry-over results from the previous to the follo
                                     us        following stages.
2.2 Control Logic:
The pipeline is controlled by setting control values during each pipeline stage. Each control signal is active only
during a single pipeline stage and hence the control lines can be divided according to the four pipelined s   stages.
These signals will be forwarded to the adjacent stage through the pipeline registers (D. Folegnani 2001)
                                                                                                     2001).
2.3 Data Forward Unit:
It maintains correct data flow to the ALU also compares the destination register address of the data waiting in
buffer and writes back pipeline registers to be written back to the register file with the current data required by
          nd
ALU and forward the latest data to it      it(B.Mayer 2001,M.Krste et.al. 2002). By forwarding the data at the
                                                                                   .
appropriate time, this unit assures pipeline does not stall as a result of the data dependencies, which arise when
an instruction needs to use the result of one of the processes before that result has returned to the register file.
The forwarding paths allow results to be passed between stages as soon as they are available. And the 4 – stage
                                                                        soon
pipeline requires each source operand to be forwarded from any of intermediate result registers.
2.3 Memory and Register Blocks:
The data and code memories are 32 X 256 bytes and 32X1024 bytes respectively while the register block is
32X32 bytes. The code memory is implemented as on chip distributed ROM while the data memory as block
                                                          on-chip
RAM inside the FPGA.
2.4 Hazard Detection Unit:
This module detects the conditions under which data forwarding is not possible and the pipeline stalls for one or
                                                                                        the
two clock cycles in order to make sure that instructions are executed with the correct data set. When it detect
stall, it disables any write operation in the instruction decode pipeline, stops PC from incrementing, and clears all
the control signals generated by the control unit. By taking these steps it can delay the execution of any
instruction by one clock cycle. It can do this as many times as necessary to ensure proper execution of
instruction.
2.5 Instructions Supported by Architecture:
                               y
This processor architecture supports mainly three types of instructions: Register Type (R-Type), Immediate Type
                                                                                          (R-
(I-Type) and Jump Type (J- Type). Table1 lists the total instructions implemented in the processor
                                                                                           processor[7,12,17].

3. Proposed Resource Sharing Strategy for Power Optimization
                      ce
This proposed technique is implemented during the designing of the decode stage of the processor. All most all
the processors’ design supports two types of the instructions addressing modes out of many others wh
                                                   instructions’                                      which are
immediate addressing and direct register addressing types. For example the addition operation can be performed
by both the types as mentioned in Table 2.
      Here, both the instructions performs almost the same operation i.e. addition and the operand 1 is common
i.e. register r1. The operand 2 can be either immediate data value or a register depending on the type of
instruction. To introduce resource sharing technique both the opcode is required to decode to same operation that
is for addition Addi the opcode is 001110 and for Add the opcode is 000001 is decoded to perform the addition


                                                         36
Computer Engineering and Intelligent Systems                                                           www.iiste.org
ISSN 2222-1719 (Paper) ISSN 2222-2863 (Online)
                                   2863
Vol 3, No.8, 2012

operation and assigned opecode is 000101
                                     000101(Z.Zhu 2005,I.Ahmad 2011).
      Instead of using the separate resources for both the instructions which perform the same operation, this
technique channelize the instruction into one and hence the saving of half the resources is achieved from the
decode stage which would have been required if both the instructions were executed differently using their
separate resources. Thus in execute stage only one adder does the work for both types of instructions. Thus much
                                      stage
amount of power was saved by resource sharing just by adding some control signals in the decode stage and
eliminating many flip – flops, comparators and muxes which would have been required in the later stage.
Through Resource sharing following instructions are channelized to one instruction and the considerable power
saving was achieved. This technique can be applied to all the stages with different logic as applied to decode
stage here. Thus from fetching, executing and write back stages considerable amount of resources are saved (J
Ayala2002, 2003, X Guan 2008, D. Brooks200). During the instruction fetch operation the Program Counter (PC)
                                      Brooks200).
is either incremented by 1 or incremented/decremented by the content of branch address from the current
                                  incremented/decremented
position of PC. To perform this operation two adders and one subtractor is required. During the design of
processor twos’ complement logic was used for instruction fetching during the branching o        operation, which
removes one subtractor.
      Further, the resource sharing is implemented by using 2:1 MUX with inputs of value 1 and 2’s complement
branch address and a branch signal as select line. This MUX output is then added to current PC to generate the
next PC value. This reduces further one adder as shown in following figure 3.
      Where X1 is X “00000001”, X2 is PC (31 downto 0) and Y1 is PC_incr signals. The Program Counter
operation can be expressed as follows:
               PC = PC + PC_incr;   _incr;
      In Execute Stage the ALU required 2 Adders for the operations such as addition and increment i.e. one for
                 e
addition ( Add, Addi) and one for Increment (Inc) and 2 subtractors for subtraction and decrement i.e. one for
subtraction(Sub, Subi) and one for Decrement (D (Dec).
      In this proposed work during execute stage instead of using 4 adders/subtractors dedicated to each operation
one adder and a multiplexer have been implemented during the design of the CPU and the resource utilization
have been optimized by which the power reduction has been achieved. The design and the functionality of the
                                      power
newer logic which is implemented in the CPU can be explained through the following example and implemented
as shown in figure 4. Let us consider four operations are to be taken care D.Brookes 2000, A.Merkel 2006,K
                                                                         care(D.Brookes
Scoones 2007):
              (a) Add: a + b;
                   dd:          (b) Inc: a + 1;
             (c) Sub: a – b;      (d) Dec: a – 1;
To achieve the resource sharing b register is given the input value through the 4:1 MUX with the opcode as
select line, as shown in following figure 4. And after the implementation of this logic the single adder is used to
generate the results and the logic for all the cases to perform C = a + b is as shown below.

4. Power Analysis of The Proposed Strategy
                              roposed
This section describes the power summary generated using the Xpower Analyzer. Two times the power analysis
         tion
has been carried upon the system under consideration, once before the implementation of the resource sharing
logic at the design level and the next time after its implementation. The Table 4 describes the power requirement
                                            after
for different clock frequencies upon which the power requirement is analysed ( K R Bhatt 2011, R Jevtic 2008, J
H Anderson 2004).
     It is noted from the table that the power consumption is increased with the increase in the clock frequency
                                                                increased
for both the architectures but the modified CPU always consumes less power compared to the conventional CPU
structure for all the clock frequencies. Graphical view of this comparison can be represented as sshown in figure
5.
     First time the power analysis was carried on the conventional CPU and the power summary sheet is as
shown in the figure 6 and the second time on the CPU with the modified logic structure in order to achieve the
power improvement.
     The power summary sheet generated by the system after the implementation of resource sharing strategy is
             wer
as shown in figure 7.
     The figure 8 shows the graphical comparison of power requirements of the various parts of the systems for
both the standard CPU and for the CPU with modified logic structure.
     It is noted that the power improvement is achieved by 3mW (2.65%) in the system after the implementation
of the Resource Sharing logic in the conventional CPU at the hardware design level.
     Thus the CPU with modified architecture performs the functionality with lesser power. The power
                                     d


                                                         37
Computer Engineering and Intelligent Systems                                                          www.iiste.org
ISSN 2222-1719 (Paper) ISSN 2222-2863 (Online)
                                   2863
Vol 3, No.8, 2012

improvement is achieved here is only because of the newly designed strategy, but further improvement can be
better achieved by implementing many other strategies at the various abstraction levels of the system design.
                                                                     abstraction
     The system under experiment has been implemented on Xilinx – SPARTAN – 3E FPGA and synthesized for
the analysis purpose. The table 5 describes the summary of synthesis report.

5. Conclusion
A newly designed processor with 32 – bit 4 – stage pipeline based on RISC principal has been successfully
implemented. This hardware system is simulated, synthesized and verified by using VHDL coding, Xilinx ISE
13.1, Modelsim 6.5and Xilinx SPARTAN – 3E FPGA as a target device. This paper suggested an innovative
                                                                                      er
strategy for resource sharing for power optimization; by which 2.65% improvement in power consumption is
achieved.
      The power analysis of the design is done before and after the implementation of resource sharing strategy
by using Xpower Analyzer and 3 mW corrections is offered by the design.
        g
      The resource sharing logic is also tried for different clock frequencies and concluded that the power
consumption is increased with the increase in the operating frequencies but the modified design consumes less
power for all the frequencies.
      This design has been further optimized up to 14% of power saving by implementing various other
techniques such as clock gating (Kiritkumar Bhatt et al2012), coding techniques means one
                                                         al2012),                          one-hot coding, Gray
coding, bus inversion, 2’s complement versus sign magnitude which are not within the scope of this paper. Also
 oding,
at the memory level the memory sub banking as the storage element and partitioning between sequential and
                                      sub-banking
combinational circuits can be taken care (D. Brookes 2000,kScoones 2007,Gautam P 2009) The arithmetic
                                                                                          2009).
instructions have an NOP stage in memory stage while there is no NOP during write back stage of the arithmetic
instructions, so write back stage of the arithmetic instructions can be moved to a memory stage without causing
any resource conflicts to reduce the transitions.
      Here, only hardware and the logic level techniques have been considered and the techniques related to
manufacturing process have not opted.
      Thus, power consumption is a major factor that limits the performance of computers. Many techniques are
                                             factor
available to reduce the total power consumption by a microprocessor system; these techniques are applied at
various abstraction levels. Authors believe that the power management needs multidimensional inputs which are
                            .                                                  multidimensional
continually expanding with new techniques being developed at every abstraction levels.
      Yet, it is too early to say that which technique will solve the problem of power consumption. But it is for
sure that this work can be taken as base and one can keep developing and adding more and more techniques to
                                 en
the processor system under experiment at different levels and can achieve a power optimized processor.

 References
K R Bhatt, A I Trivedi (2008), “ Power Computation Model of CMOS based FPGA used for Power
                                     ,                                      CMOS
Optimization”, Int. Journal of PDCS, Vol.3, No. 13, pp. 759 – 762.
R. Jevtic, C. Carreras, and G. Caffarena(2008), “Fast and accurate power estimation of FPGA DSP components
based on high-level switching activity models,” Int. J. Electron., vol. 95, no. 7, pp. 653–668.
               level                                                                       668.
J. H. Anderson and F. N. Najm(2004) “Power estimation techniques for FPGAs,” IEEE Trans. Very Large Scale
                                 (2004),
Integr. (VLSI) Syst., vol. 10, no. 12, pp. 1015
                     ,                     1015–1027.
Intel(2011), “High-Performance Energy
                       Performance Energy-Efficient Processors for Embedded Market Segments
                                                                cessors                                Segments,”
http://www.intel.com/design/ embedded/downloads/ 315336.pdf.
S. Collange, D. Defour, and A. Tisserand
                                   Tisserand(2009), “Power Consumption of GPUs from a Software Perspective,”
                                                   ,
Proc. ACM Ninth Int’l Conf. Computational Science (ICCS).
         CM
Steve Furber(2007), “ ARM – System on – Chip Architecture”, IInd Edition, Pearson Education.
Kiritkumar Bhatt, Saurabh Patel, A I Trivedi
                                           Trivedi(2012), “ Power Optimized Embedded Processor Design with
Parallel Pipelining”, Int. Journal of PDCS, Vol. 4, No.1, pp 54 – 60..
           pelining”,
D A Patterson, J L Hennessy(2005) Computer Organization and Design, the Hardware/Software Interface”,
                                (2005),”
Morgan Kaufmann.
P. Pande, A. Ganguly, B. Belzer, A. Nojeh, and A. Ivanov
                                                     Ivanov(2008), “Novel Interconnect Infrastructures for Massive
                                                                              terconnect
Multicore Chips—An Overview,” Proc. IEEE Int’l Symp. Circuits and Systems (ISCAS).
                   An
D. Folegnani and A. Gonza´lez(2001) “Energy-Effective Issue Logic,” Proc. 28th Int’l Symp. Computer
                                    (2001),           Effective
Architecture, pp. 230-239.
Kiritkumar Bhatt, A I Trivedi(2011), “ Power Estimation of Switching Activity for Low – Power Implementation
on FPGA”, Int. Journal of Programmable Devices Circuits and Systems, Vol. 3, No. 14, pp. 803 – 807.
Gautam P, Parthsarathy R, Karthi Balasubramanian
                                      Balasubramanian(2009), “ Low – Power Pipeliens MIPS Processor Design”,


                                                       38
Computer Engineering and Intelligent Systems                                                         www.iiste.org
ISSN 2222-1719 (Paper) ISSN 2222-2863 (Online)
                                   2863
Vol 3, No.8, 2012

Proc. Of Int. Conf. On ISIC pp.462 – 465.
L. Benini, A. Bogliolo and G. Micheli
                                  Micheli(2000),”A Survey of Design Techniques for System – Level Dynamic
                                               ,”A
Power Management”, IEEE Trans. On VLSI S      Systems, pp. 299 – 316.
B. Mayer(2011), “Low – Power Design for Embedded Processors”, Proc. Of IEEE Vol. 98, No.11
                                                                                         No.11.
F.J. Cazorla, A. Ramý´rez, M. Valero, and E. Ferna´ndez
                                              Ferna´ndez(2004), “Dynamically Controlled Resource Allocation in
                                                                ,
SMT Processors,” Proc. 37th Int’l Symp. Microarchite
                                           Microarchitecture, pp. 171-182.
Krste Asonavoic, Mark Hampton, Ronny Krashinsky and Emmett Witchel (2002), “ Power Aware Computing”,
                                                                                ,
Kluwer Academic Publishers.
M. Pedram(2001), “ Power Optimization and Management in Embedded Systems” , Proc. Of Int. Conf. On Asia
                   ,
South Pacific Design Automation Conference, pp. 239 – 244.
     th
S. Lee and J. Gaudiot(2006), “Throttling Based Resource Management in High Performance Multithreaded
                               , “Throttling-Based
Architectures,” IEEE Trans. Computers, vol. 55, no. 9, pp. 1142
                                                            1142-1152.
Z. Zhu and X. Zhang(2005), “Look Ahead Architecture Adaptation to Reduce Processor Power Consumption,”
                                  ook-Ahead
IEEE Micro, vol. 25, no. 4, pp. 10-19.
                                    19.
I. Ahmad and S. Ranka(2011), Handbook of Energy Aware And Green Computing. Taylor and Francis Group,
                                ,              Energy-Aware
CRC Press.
J L Ayala, A. Veidenbaum and M L Valejo(2003), “ Power _ Aware Compilation for Register File Energy
                                                     ,
Reduction”, Int. Journal of Parallel Program, Vol.31, No. 6, pp. 451 – 467.
J L Ayala, A. Veidenbaum(2002), “ Reducing Register File Energy Consumption using Compiler Support”,
                                    ,
presented at workshop on Application – Specific Processors, Istabbul, Turkey.
X.Guan, Y.Fei(2008), “ Reducing Power Consumption through Register File Partitioning and Compiler Support”,
                     ,
Proc. Application Specific Systems, Architectures, Processors,pp.269 – 274.
D. Brooks, et al(2000)., “Power
                             , “Power-Aware Micro-architecture: Design and Modeling Challenges for
                                                       architecture:
Next-Generation Microprocessors”, IEEE Micro, vol. 20, No. 6, pp. 26– 44.
D.Brookes,V.Tiwari, Matronosi (2000) “ Wattch: A framework for Architecture – Level Power An
                                  (2000),                                                       Analysis and
Optimization”, proc. 27th Ann. Int. Symp. Computer Architecture, pp. 83 – 94.
A. Merkel, F.Bellosa(2006), “Balancing Power Consumption in Multiprocessor Systems”, proc. ACM Eurosys
                            ,
Conf.
K.Scoones(2007), “Power Management Technology for Portable Devices”, Austin Conf. Energy – Efficient
                  ,
Design.

Table 1 Instructions Supported by the CPU

      Instruction Types                                         Instructions Implemented
Register                                Add, Sub, Mul, Or, And, Xor, Mov, Inc, Dec, Cmp
Immediate                               Addi, Subi, Muli, Ori, Andi, Xori, Movi
Branch                                  Bz, Bnz, Br,

Table 2 Addition Operation
                      Operation                                              Instruction format

        Immediate Addressing Type Operation:                          Addi   r1 , 30 bit (Immediate Data)
                                                                                Add r1 ,   r2
           Direct Addressing Type Operation:




                                                         39
Computer Engineering and Intelligent Systems                                                     www.iiste.org
ISSN 2222-1719 (Paper) ISSN 2222-2863 (Online)
                                   2863
Vol 3, No.8, 2012




Table 3 List of Instruction on which Resource Sharing Applied


        Instruction 1                      Instruction 2         Operation          Decoded to Opcode
       Add (000001)                        Addi (001110)          Addition           Addition (000101)
        Sub(000010)                        Subi(001111)          Subtraction       Subtraction (00010
                                                                                               (000100)
        Mul(000011)                        Muli(010000          Multiplication    Multiplication (000110)
        Or(000100)                          Ori(010001)              OR               Orring (000001)
        And(000101)                        Andi(010010)             AND              Anding (000011)
        Xor(000110)                        Xori(010011)             XOR              Xorring (000010)
       Mov(000111)                         Movi(010100)             Move              Move(000000)
        Ror(001000)                        Rori(010101)         Rotate Right       Rotate Right(001000)
        Rol(001001)                        Roli(010110)          Rotate Left        Rotate Left(001100)
        Srl(001010)                         Srli(010111)         Shift Right        Shift Right(001101)
         Sll(001011)                        Slli(011000)          Shift Left        Shift Left(001110)
       Load (001100)                      Loadi(011001)             Load         Same Load Signal for Both
       Store (001101)                      Stori(011010)            Store        Same Store signal for Both




Table 4 Power Requirement at Different Clock Frequencies


     Clock (MHz)             Power       Consumption     in      Power Consumption in Modified           CPU
                             Conventional CPU design (mW)        design (4 – stage) (mW)
           10                                    97                                 95
           20                                    105                                102
           30                                    111                                109
           40                                    113                                110
           50                                    124                                121
           60                                    126                                124
           70                                    130                                127
           80                                    134                                130
           90                                    138                                133
           100                                   144                                137




                                                           40
Computer Engineering and Intelligent Systems                                                     www.iiste.org
ISSN 2222-1719 (Paper) ISSN 2222-2863 (Online)
                                   2863
Vol 3, No.8, 2012




                                                 Device Utilization Summary

                           Selected Device : XC3s500efg320
                                             XC3s500efg320-4(SPARTAN – 3E FPGA)

                         Devices                                              Utilization

                                                              Devices Used     Out of       % Utilization

                   Number of Slices                               1703         4656             36%

             Number of Slice Flip Flops                            656         9312             7%

              Number of 4 input LUTs                              3220         9312             34%

              Number of bonded IOBs                                 2           232             0%

                 Number of BRAMs                                    9           20              45%

           Number of MULT18X18SIOs                                  3           20              15%

                  Number of GCLKs                                   1           24              4%

                    Number of IOs                                                 2

                                                     Timing Summary

                     Speed Grade                                                  4

                   Minimum period                                              18.768ns

                Maximum Frequency                                             53.283MHz

Table 5 Summary of Synthesis Report




                                                             41
Computer Engineering and Intelligent Systems                                                         www.iiste.org
ISSN 2222-1719 (Paper) ISSN 2222-2863 (Online)
                                   2863
Vol 3, No.8, 2012




                                                                Fig. 2 Pipeline Stages for Various Instructions




                                                                                Fig.1 Low - Power Architecture
of CPU




                                           X1
                                                                     MUX                           Y1

                                            X2

                                             Branch

                                       Fig.3 MUX Usage for Reducing Resources




                                                         42
Computer Engineering and Intelligent Systems                                         www.iiste.org
ISSN 2222-1719 (Paper) ISSN 2222-2863 (Online)
                                   2863
Vol 3, No.8, 2012




Bin (31 downto 0)
     X “00000001”
                                            MUX
(2’s Complement                                               B
of -1)X”FFFFFFFF”

2’s Complement of –
b)not(b) + 1




                                      Opcode (5 downto 0)

                                  Fig. 4 Resource Sharing Logic for above examples




                                       Fig. 5 Comparison of P
                                                            Power Consumption




                                                         43
Computer Engineering and Intelligent Systems                                         www.iiste.org
ISSN 2222-1719 (Paper) ISSN 2222-2863 (Online)
                                   2863
Vol 3, No.8, 2012




                                Fig. 6 Power Summary sheet before Resource Sharing




                                 Fig. 7 Power Summary sheet after Resource Sharing




                                                        44
Computer Engineering and Intelligent Systems                                                                                 www.iiste.org
ISSN 2222-1719 (Paper) ISSN 2222-2863 (Online)
                                   2863
Vol 3, No.8, 2012




                             Fig. 8 Comparison of Power Requirement for both the structures



                        Kiritkumar Bhatt (Vadodara – Oct’1972) presently pursuing his research and has received Bachelor’s (1997) and
                        Master’s (2002) Degree with specialization in Microprocessor Systems and Applications from the Elect. Engg.
                        Dept., Faculty of Tech. & Engg, M S University of Baroda.
                        At present he is working as an Associate Professor in the E & C Engg. Dept.,at Sardar Vallabhbhai Patel Institute of
                                          orking
                        Technology – Vasad, Gujarat – India.      He has 14 years of experience as an academician. He authored number of
papers in national /international journals/conferences of repute, also worked as designated reviewer for many IEEE conferences. He has
                                  journals/conferences
guided and worked on many UG and PG projects. He is member of various professional bodies such as IETE, SPE, ISTE, IE(I), – India.
His research interest includes power estimation, optimization and system level dynamic power management, low – power processor and
                                      stimation,                  system-level
embedded system design and implementation.




                    Prof. A.I. Trivedi is M.Phil, DIC, B.Tech (Hons) . He is professor in Electrical Engineering department in Factulty of
                    Technology, M.S.University, Baroda. His research areas are Communication Systems, Image processing, DSP etc. He
                    has guided four Ph.D. students and six are doing Ph.D. under his guidance. He has published many research papers and
                    articles in referred journals and international conference proceedings.




                                                                     45
This academic article was published by The International Institute for Science,
Technology and Education (IISTE). The IISTE is a pioneer in the Open Access
Publishing service based in the U.S. and Europe. The aim of the institute is
Accelerating Global Knowledge Sharing.

More information about the publisher can be found in the IISTE’s homepage:
http://www.iiste.org


The IISTE is currently hosting more than 30 peer-reviewed academic journals and
collaborating with academic institutions around the world. Prospective authors of
IISTE journals can find the submission instruction on the following page:
http://www.iiste.org/Journals/

The IISTE editorial team promises to the review and publish all the qualified
submissions in a fast manner. All the journals articles are available online to the
readers all over the world without financial, legal, or technical barriers other than
those inseparable from gaining access to the internet itself. Printed version of the
journals is also available upon request of readers and authors.

IISTE Knowledge Sharing Partners

EBSCO, Index Copernicus, Ulrich's Periodicals Directory, JournalTOCS, PKP Open
Archives Harvester, Bielefeld Academic Search Engine, Elektronische
Zeitschriftenbibliothek EZB, Open J-Gate, OCLC WorldCat, Universe Digtial
Library , NewJour, Google Scholar

Weitere ähnliche Inhalte

Was ist angesagt?

E03403027030
E03403027030E03403027030
E03403027030theijes
 
Instruction level power analysis
Instruction level power analysisInstruction level power analysis
Instruction level power analysisRadhegovind
 
Reconfigurable and versatile bil rc architecture design with an area and powe...
Reconfigurable and versatile bil rc architecture design with an area and powe...Reconfigurable and versatile bil rc architecture design with an area and powe...
Reconfigurable and versatile bil rc architecture design with an area and powe...eSAT Publishing House
 
Reconfigurable and versatile bil rc architecture
Reconfigurable and versatile bil rc architectureReconfigurable and versatile bil rc architecture
Reconfigurable and versatile bil rc architectureeSAT Publishing House
 
POWER GATING STRUCTURE FOR REVERSIBLE PROGRAMMABLE LOGIC ARRAY
POWER GATING STRUCTURE FOR REVERSIBLE PROGRAMMABLE LOGIC ARRAYPOWER GATING STRUCTURE FOR REVERSIBLE PROGRAMMABLE LOGIC ARRAY
POWER GATING STRUCTURE FOR REVERSIBLE PROGRAMMABLE LOGIC ARRAYecij
 
Comparison study of 8-PPM, 8-DPIM, and 8-RDH-PIM modulator FPGA hardware desi...
Comparison study of 8-PPM, 8-DPIM, and 8-RDH-PIM modulator FPGA hardware desi...Comparison study of 8-PPM, 8-DPIM, and 8-RDH-PIM modulator FPGA hardware desi...
Comparison study of 8-PPM, 8-DPIM, and 8-RDH-PIM modulator FPGA hardware desi...journalBEEI
 
International Journal of Computational Engineering Research(IJCER)
 International Journal of Computational Engineering Research(IJCER)  International Journal of Computational Engineering Research(IJCER)
International Journal of Computational Engineering Research(IJCER) ijceronline
 
Fpga based low power and high performance address
Fpga based low power and high performance addressFpga based low power and high performance address
Fpga based low power and high performance addresseSAT Publishing House
 
Fpga based low power and high performance address generator for wimax deinter...
Fpga based low power and high performance address generator for wimax deinter...Fpga based low power and high performance address generator for wimax deinter...
Fpga based low power and high performance address generator for wimax deinter...eSAT Journals
 
Reducing power in using different technologies using FSM architecture
Reducing power in using different technologies using FSM architectureReducing power in using different technologies using FSM architecture
Reducing power in using different technologies using FSM architectureVLSICS Design
 
Transformation and dynamic visualization of images from computer through an F...
Transformation and dynamic visualization of images from computer through an F...Transformation and dynamic visualization of images from computer through an F...
Transformation and dynamic visualization of images from computer through an F...TELKOMNIKA JOURNAL
 
MTE104-L2: Overview of Microcontrollers
MTE104-L2: Overview of MicrocontrollersMTE104-L2: Overview of Microcontrollers
MTE104-L2: Overview of MicrocontrollersAbdalla Ahmed
 
A Fault-tolerant Switch for Next Generation Computer Networks
A Fault-tolerant Switch for Next Generation Computer NetworksA Fault-tolerant Switch for Next Generation Computer Networks
A Fault-tolerant Switch for Next Generation Computer NetworksIDES Editor
 
Lecture 3
Lecture 3Lecture 3
Lecture 3Mr SMAK
 
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...ijceronline
 
Run time dynamic partial reconfiguration using
Run time dynamic partial reconfiguration usingRun time dynamic partial reconfiguration using
Run time dynamic partial reconfiguration usingeSAT Publishing House
 

Was ist angesagt? (17)

E03403027030
E03403027030E03403027030
E03403027030
 
Instruction level power analysis
Instruction level power analysisInstruction level power analysis
Instruction level power analysis
 
Reconfigurable and versatile bil rc architecture design with an area and powe...
Reconfigurable and versatile bil rc architecture design with an area and powe...Reconfigurable and versatile bil rc architecture design with an area and powe...
Reconfigurable and versatile bil rc architecture design with an area and powe...
 
Reconfigurable and versatile bil rc architecture
Reconfigurable and versatile bil rc architectureReconfigurable and versatile bil rc architecture
Reconfigurable and versatile bil rc architecture
 
POWER GATING STRUCTURE FOR REVERSIBLE PROGRAMMABLE LOGIC ARRAY
POWER GATING STRUCTURE FOR REVERSIBLE PROGRAMMABLE LOGIC ARRAYPOWER GATING STRUCTURE FOR REVERSIBLE PROGRAMMABLE LOGIC ARRAY
POWER GATING STRUCTURE FOR REVERSIBLE PROGRAMMABLE LOGIC ARRAY
 
VLSI GDI Technology
VLSI GDI TechnologyVLSI GDI Technology
VLSI GDI Technology
 
Comparison study of 8-PPM, 8-DPIM, and 8-RDH-PIM modulator FPGA hardware desi...
Comparison study of 8-PPM, 8-DPIM, and 8-RDH-PIM modulator FPGA hardware desi...Comparison study of 8-PPM, 8-DPIM, and 8-RDH-PIM modulator FPGA hardware desi...
Comparison study of 8-PPM, 8-DPIM, and 8-RDH-PIM modulator FPGA hardware desi...
 
International Journal of Computational Engineering Research(IJCER)
 International Journal of Computational Engineering Research(IJCER)  International Journal of Computational Engineering Research(IJCER)
International Journal of Computational Engineering Research(IJCER)
 
Fpga based low power and high performance address
Fpga based low power and high performance addressFpga based low power and high performance address
Fpga based low power and high performance address
 
Fpga based low power and high performance address generator for wimax deinter...
Fpga based low power and high performance address generator for wimax deinter...Fpga based low power and high performance address generator for wimax deinter...
Fpga based low power and high performance address generator for wimax deinter...
 
Reducing power in using different technologies using FSM architecture
Reducing power in using different technologies using FSM architectureReducing power in using different technologies using FSM architecture
Reducing power in using different technologies using FSM architecture
 
Transformation and dynamic visualization of images from computer through an F...
Transformation and dynamic visualization of images from computer through an F...Transformation and dynamic visualization of images from computer through an F...
Transformation and dynamic visualization of images from computer through an F...
 
MTE104-L2: Overview of Microcontrollers
MTE104-L2: Overview of MicrocontrollersMTE104-L2: Overview of Microcontrollers
MTE104-L2: Overview of Microcontrollers
 
A Fault-tolerant Switch for Next Generation Computer Networks
A Fault-tolerant Switch for Next Generation Computer NetworksA Fault-tolerant Switch for Next Generation Computer Networks
A Fault-tolerant Switch for Next Generation Computer Networks
 
Lecture 3
Lecture 3Lecture 3
Lecture 3
 
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
 
Run time dynamic partial reconfiguration using
Run time dynamic partial reconfiguration usingRun time dynamic partial reconfiguration using
Run time dynamic partial reconfiguration using
 

Andere mochten auch

A review for the online social networks literature
A review for the online social networks literatureA review for the online social networks literature
A review for the online social networks literatureAlexander Decker
 
Thesis Literature Review and Propsal
Thesis Literature Review and PropsalThesis Literature Review and Propsal
Thesis Literature Review and PropsalBrittany Bates
 
Social media as a marketing tool a literature review
Social media as a marketing tool  a literature reviewSocial media as a marketing tool  a literature review
Social media as a marketing tool a literature reviewkaliyamoorthyselvaraju
 
Social Media and Social Media Marketing: A Literature Review
Social Media and Social Media Marketing: A Literature ReviewSocial Media and Social Media Marketing: A Literature Review
Social Media and Social Media Marketing: A Literature Reviewiosrjce
 
Abnormalities of hormones and inflammatory cytokines in women affected with p...
Abnormalities of hormones and inflammatory cytokines in women affected with p...Abnormalities of hormones and inflammatory cytokines in women affected with p...
Abnormalities of hormones and inflammatory cytokines in women affected with p...Alexander Decker
 

Andere mochten auch (6)

Literature Review
Literature ReviewLiterature Review
Literature Review
 
A review for the online social networks literature
A review for the online social networks literatureA review for the online social networks literature
A review for the online social networks literature
 
Thesis Literature Review and Propsal
Thesis Literature Review and PropsalThesis Literature Review and Propsal
Thesis Literature Review and Propsal
 
Social media as a marketing tool a literature review
Social media as a marketing tool  a literature reviewSocial media as a marketing tool  a literature review
Social media as a marketing tool a literature review
 
Social Media and Social Media Marketing: A Literature Review
Social Media and Social Media Marketing: A Literature ReviewSocial Media and Social Media Marketing: A Literature Review
Social Media and Social Media Marketing: A Literature Review
 
Abnormalities of hormones and inflammatory cytokines in women affected with p...
Abnormalities of hormones and inflammatory cytokines in women affected with p...Abnormalities of hormones and inflammatory cytokines in women affected with p...
Abnormalities of hormones and inflammatory cytokines in women affected with p...
 

Ähnlich wie Implementation of resource sharing strategy for power optimization in embedded processors

DESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSOR
DESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSORDESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSOR
DESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSORVLSICS Design
 
Design and Analysis of A 32-bit Pipelined MIPS Risc Processor
Design and Analysis of A 32-bit Pipelined MIPS Risc ProcessorDesign and Analysis of A 32-bit Pipelined MIPS Risc Processor
Design and Analysis of A 32-bit Pipelined MIPS Risc ProcessorVLSICS Design
 
High performance energy efficient multicore embedded computing
High performance energy efficient multicore embedded computingHigh performance energy efficient multicore embedded computing
High performance energy efficient multicore embedded computingAnkit Talele
 
Secure remote protocol for fpga reconfiguration
Secure remote protocol for fpga reconfigurationSecure remote protocol for fpga reconfiguration
Secure remote protocol for fpga reconfigurationeSAT Journals
 
Secure remote protocol for fpga reconfiguration
Secure remote protocol for fpga reconfigurationSecure remote protocol for fpga reconfiguration
Secure remote protocol for fpga reconfigurationeSAT Publishing House
 
HIGH PERFORMANCE ETHERNET PACKET PROCESSOR CORE FOR NEXT GENERATION NETWORKS
HIGH PERFORMANCE ETHERNET PACKET PROCESSOR CORE FOR NEXT GENERATION NETWORKSHIGH PERFORMANCE ETHERNET PACKET PROCESSOR CORE FOR NEXT GENERATION NETWORKS
HIGH PERFORMANCE ETHERNET PACKET PROCESSOR CORE FOR NEXT GENERATION NETWORKSijngnjournal
 
Optimized Layout Design of Priority Encoder using 65nm Technology
Optimized Layout Design of Priority Encoder using 65nm TechnologyOptimized Layout Design of Priority Encoder using 65nm Technology
Optimized Layout Design of Priority Encoder using 65nm TechnologyIJEEE
 
DESIGN OF POWER EFFICIENT PRIORITY ENCODER
DESIGN OF POWER EFFICIENT PRIORITY ENCODERDESIGN OF POWER EFFICIENT PRIORITY ENCODER
DESIGN OF POWER EFFICIENT PRIORITY ENCODERIRJET Journal
 
Parallel Processing Technique for Time Efficient Matrix Multiplication
Parallel Processing Technique for Time Efficient Matrix MultiplicationParallel Processing Technique for Time Efficient Matrix Multiplication
Parallel Processing Technique for Time Efficient Matrix MultiplicationIJERA Editor
 
System on Chip Based RTC in Power Electronics
System on Chip Based RTC in Power ElectronicsSystem on Chip Based RTC in Power Electronics
System on Chip Based RTC in Power ElectronicsjournalBEEI
 
A ULTRA-LOW POWER ROUTER DESIGN FOR NETWORK ON CHIP
A ULTRA-LOW POWER ROUTER DESIGN FOR NETWORK ON CHIPA ULTRA-LOW POWER ROUTER DESIGN FOR NETWORK ON CHIP
A ULTRA-LOW POWER ROUTER DESIGN FOR NETWORK ON CHIPijaceeejournal
 
Design and testing of systolic array multiplier using fault injecting schemes
Design and testing of systolic array multiplier using fault injecting schemesDesign and testing of systolic array multiplier using fault injecting schemes
Design and testing of systolic array multiplier using fault injecting schemesCSITiaesprime
 
Design and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder Circuit
Design and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder CircuitDesign and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder Circuit
Design and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder CircuitAssociate Professor in VSB Coimbatore
 
Algorithm selection for sorting in embedded and mobile systems
Algorithm selection for sorting in embedded and mobile systemsAlgorithm selection for sorting in embedded and mobile systems
Algorithm selection for sorting in embedded and mobile systemsJigisha Aryya
 
High speed customized serial protocol for IP integration on FPGA based SOC ap...
High speed customized serial protocol for IP integration on FPGA based SOC ap...High speed customized serial protocol for IP integration on FPGA based SOC ap...
High speed customized serial protocol for IP integration on FPGA based SOC ap...IJMER
 
A NEW DATA ENCODER AND DECODER SCHEME FOR NETWORK ON CHIP
A NEW DATA ENCODER AND DECODER SCHEME FOR  NETWORK ON CHIPA NEW DATA ENCODER AND DECODER SCHEME FOR  NETWORK ON CHIP
A NEW DATA ENCODER AND DECODER SCHEME FOR NETWORK ON CHIPEditor IJMTER
 

Ähnlich wie Implementation of resource sharing strategy for power optimization in embedded processors (20)

DESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSOR
DESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSORDESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSOR
DESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSOR
 
Design and Analysis of A 32-bit Pipelined MIPS Risc Processor
Design and Analysis of A 32-bit Pipelined MIPS Risc ProcessorDesign and Analysis of A 32-bit Pipelined MIPS Risc Processor
Design and Analysis of A 32-bit Pipelined MIPS Risc Processor
 
High performance energy efficient multicore embedded computing
High performance energy efficient multicore embedded computingHigh performance energy efficient multicore embedded computing
High performance energy efficient multicore embedded computing
 
Secure remote protocol for fpga reconfiguration
Secure remote protocol for fpga reconfigurationSecure remote protocol for fpga reconfiguration
Secure remote protocol for fpga reconfiguration
 
Secure remote protocol for fpga reconfiguration
Secure remote protocol for fpga reconfigurationSecure remote protocol for fpga reconfiguration
Secure remote protocol for fpga reconfiguration
 
HIGH PERFORMANCE ETHERNET PACKET PROCESSOR CORE FOR NEXT GENERATION NETWORKS
HIGH PERFORMANCE ETHERNET PACKET PROCESSOR CORE FOR NEXT GENERATION NETWORKSHIGH PERFORMANCE ETHERNET PACKET PROCESSOR CORE FOR NEXT GENERATION NETWORKS
HIGH PERFORMANCE ETHERNET PACKET PROCESSOR CORE FOR NEXT GENERATION NETWORKS
 
Optimized Layout Design of Priority Encoder using 65nm Technology
Optimized Layout Design of Priority Encoder using 65nm TechnologyOptimized Layout Design of Priority Encoder using 65nm Technology
Optimized Layout Design of Priority Encoder using 65nm Technology
 
Hv2514131415
Hv2514131415Hv2514131415
Hv2514131415
 
Hv2514131415
Hv2514131415Hv2514131415
Hv2514131415
 
DESIGN OF POWER EFFICIENT PRIORITY ENCODER
DESIGN OF POWER EFFICIENT PRIORITY ENCODERDESIGN OF POWER EFFICIENT PRIORITY ENCODER
DESIGN OF POWER EFFICIENT PRIORITY ENCODER
 
P358387
P358387P358387
P358387
 
Parallel Processing Technique for Time Efficient Matrix Multiplication
Parallel Processing Technique for Time Efficient Matrix MultiplicationParallel Processing Technique for Time Efficient Matrix Multiplication
Parallel Processing Technique for Time Efficient Matrix Multiplication
 
System on Chip Based RTC in Power Electronics
System on Chip Based RTC in Power ElectronicsSystem on Chip Based RTC in Power Electronics
System on Chip Based RTC in Power Electronics
 
A ULTRA-LOW POWER ROUTER DESIGN FOR NETWORK ON CHIP
A ULTRA-LOW POWER ROUTER DESIGN FOR NETWORK ON CHIPA ULTRA-LOW POWER ROUTER DESIGN FOR NETWORK ON CHIP
A ULTRA-LOW POWER ROUTER DESIGN FOR NETWORK ON CHIP
 
Design and testing of systolic array multiplier using fault injecting schemes
Design and testing of systolic array multiplier using fault injecting schemesDesign and testing of systolic array multiplier using fault injecting schemes
Design and testing of systolic array multiplier using fault injecting schemes
 
Design and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder Circuit
Design and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder CircuitDesign and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder Circuit
Design and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder Circuit
 
Role of tuning techniques in advancing the performance of negative capacitanc...
Role of tuning techniques in advancing the performance of negative capacitanc...Role of tuning techniques in advancing the performance of negative capacitanc...
Role of tuning techniques in advancing the performance of negative capacitanc...
 
Algorithm selection for sorting in embedded and mobile systems
Algorithm selection for sorting in embedded and mobile systemsAlgorithm selection for sorting in embedded and mobile systems
Algorithm selection for sorting in embedded and mobile systems
 
High speed customized serial protocol for IP integration on FPGA based SOC ap...
High speed customized serial protocol for IP integration on FPGA based SOC ap...High speed customized serial protocol for IP integration on FPGA based SOC ap...
High speed customized serial protocol for IP integration on FPGA based SOC ap...
 
A NEW DATA ENCODER AND DECODER SCHEME FOR NETWORK ON CHIP
A NEW DATA ENCODER AND DECODER SCHEME FOR  NETWORK ON CHIPA NEW DATA ENCODER AND DECODER SCHEME FOR  NETWORK ON CHIP
A NEW DATA ENCODER AND DECODER SCHEME FOR NETWORK ON CHIP
 

Mehr von Alexander Decker

A validation of the adverse childhood experiences scale in
A validation of the adverse childhood experiences scale inA validation of the adverse childhood experiences scale in
A validation of the adverse childhood experiences scale inAlexander Decker
 
A usability evaluation framework for b2 c e commerce websites
A usability evaluation framework for b2 c e commerce websitesA usability evaluation framework for b2 c e commerce websites
A usability evaluation framework for b2 c e commerce websitesAlexander Decker
 
A universal model for managing the marketing executives in nigerian banks
A universal model for managing the marketing executives in nigerian banksA universal model for managing the marketing executives in nigerian banks
A universal model for managing the marketing executives in nigerian banksAlexander Decker
 
A unique common fixed point theorems in generalized d
A unique common fixed point theorems in generalized dA unique common fixed point theorems in generalized d
A unique common fixed point theorems in generalized dAlexander Decker
 
A trends of salmonella and antibiotic resistance
A trends of salmonella and antibiotic resistanceA trends of salmonella and antibiotic resistance
A trends of salmonella and antibiotic resistanceAlexander Decker
 
A transformational generative approach towards understanding al-istifham
A transformational  generative approach towards understanding al-istifhamA transformational  generative approach towards understanding al-istifham
A transformational generative approach towards understanding al-istifhamAlexander Decker
 
A time series analysis of the determinants of savings in namibia
A time series analysis of the determinants of savings in namibiaA time series analysis of the determinants of savings in namibia
A time series analysis of the determinants of savings in namibiaAlexander Decker
 
A therapy for physical and mental fitness of school children
A therapy for physical and mental fitness of school childrenA therapy for physical and mental fitness of school children
A therapy for physical and mental fitness of school childrenAlexander Decker
 
A theory of efficiency for managing the marketing executives in nigerian banks
A theory of efficiency for managing the marketing executives in nigerian banksA theory of efficiency for managing the marketing executives in nigerian banks
A theory of efficiency for managing the marketing executives in nigerian banksAlexander Decker
 
A systematic evaluation of link budget for
A systematic evaluation of link budget forA systematic evaluation of link budget for
A systematic evaluation of link budget forAlexander Decker
 
A synthetic review of contraceptive supplies in punjab
A synthetic review of contraceptive supplies in punjabA synthetic review of contraceptive supplies in punjab
A synthetic review of contraceptive supplies in punjabAlexander Decker
 
A synthesis of taylor’s and fayol’s management approaches for managing market...
A synthesis of taylor’s and fayol’s management approaches for managing market...A synthesis of taylor’s and fayol’s management approaches for managing market...
A synthesis of taylor’s and fayol’s management approaches for managing market...Alexander Decker
 
A survey paper on sequence pattern mining with incremental
A survey paper on sequence pattern mining with incrementalA survey paper on sequence pattern mining with incremental
A survey paper on sequence pattern mining with incrementalAlexander Decker
 
A survey on live virtual machine migrations and its techniques
A survey on live virtual machine migrations and its techniquesA survey on live virtual machine migrations and its techniques
A survey on live virtual machine migrations and its techniquesAlexander Decker
 
A survey on data mining and analysis in hadoop and mongo db
A survey on data mining and analysis in hadoop and mongo dbA survey on data mining and analysis in hadoop and mongo db
A survey on data mining and analysis in hadoop and mongo dbAlexander Decker
 
A survey on challenges to the media cloud
A survey on challenges to the media cloudA survey on challenges to the media cloud
A survey on challenges to the media cloudAlexander Decker
 
A survey of provenance leveraged
A survey of provenance leveragedA survey of provenance leveraged
A survey of provenance leveragedAlexander Decker
 
A survey of private equity investments in kenya
A survey of private equity investments in kenyaA survey of private equity investments in kenya
A survey of private equity investments in kenyaAlexander Decker
 
A study to measures the financial health of
A study to measures the financial health ofA study to measures the financial health of
A study to measures the financial health ofAlexander Decker
 
A study to evaluate the attitude of faculty members of public universities of...
A study to evaluate the attitude of faculty members of public universities of...A study to evaluate the attitude of faculty members of public universities of...
A study to evaluate the attitude of faculty members of public universities of...Alexander Decker
 

Mehr von Alexander Decker (20)

A validation of the adverse childhood experiences scale in
A validation of the adverse childhood experiences scale inA validation of the adverse childhood experiences scale in
A validation of the adverse childhood experiences scale in
 
A usability evaluation framework for b2 c e commerce websites
A usability evaluation framework for b2 c e commerce websitesA usability evaluation framework for b2 c e commerce websites
A usability evaluation framework for b2 c e commerce websites
 
A universal model for managing the marketing executives in nigerian banks
A universal model for managing the marketing executives in nigerian banksA universal model for managing the marketing executives in nigerian banks
A universal model for managing the marketing executives in nigerian banks
 
A unique common fixed point theorems in generalized d
A unique common fixed point theorems in generalized dA unique common fixed point theorems in generalized d
A unique common fixed point theorems in generalized d
 
A trends of salmonella and antibiotic resistance
A trends of salmonella and antibiotic resistanceA trends of salmonella and antibiotic resistance
A trends of salmonella and antibiotic resistance
 
A transformational generative approach towards understanding al-istifham
A transformational  generative approach towards understanding al-istifhamA transformational  generative approach towards understanding al-istifham
A transformational generative approach towards understanding al-istifham
 
A time series analysis of the determinants of savings in namibia
A time series analysis of the determinants of savings in namibiaA time series analysis of the determinants of savings in namibia
A time series analysis of the determinants of savings in namibia
 
A therapy for physical and mental fitness of school children
A therapy for physical and mental fitness of school childrenA therapy for physical and mental fitness of school children
A therapy for physical and mental fitness of school children
 
A theory of efficiency for managing the marketing executives in nigerian banks
A theory of efficiency for managing the marketing executives in nigerian banksA theory of efficiency for managing the marketing executives in nigerian banks
A theory of efficiency for managing the marketing executives in nigerian banks
 
A systematic evaluation of link budget for
A systematic evaluation of link budget forA systematic evaluation of link budget for
A systematic evaluation of link budget for
 
A synthetic review of contraceptive supplies in punjab
A synthetic review of contraceptive supplies in punjabA synthetic review of contraceptive supplies in punjab
A synthetic review of contraceptive supplies in punjab
 
A synthesis of taylor’s and fayol’s management approaches for managing market...
A synthesis of taylor’s and fayol’s management approaches for managing market...A synthesis of taylor’s and fayol’s management approaches for managing market...
A synthesis of taylor’s and fayol’s management approaches for managing market...
 
A survey paper on sequence pattern mining with incremental
A survey paper on sequence pattern mining with incrementalA survey paper on sequence pattern mining with incremental
A survey paper on sequence pattern mining with incremental
 
A survey on live virtual machine migrations and its techniques
A survey on live virtual machine migrations and its techniquesA survey on live virtual machine migrations and its techniques
A survey on live virtual machine migrations and its techniques
 
A survey on data mining and analysis in hadoop and mongo db
A survey on data mining and analysis in hadoop and mongo dbA survey on data mining and analysis in hadoop and mongo db
A survey on data mining and analysis in hadoop and mongo db
 
A survey on challenges to the media cloud
A survey on challenges to the media cloudA survey on challenges to the media cloud
A survey on challenges to the media cloud
 
A survey of provenance leveraged
A survey of provenance leveragedA survey of provenance leveraged
A survey of provenance leveraged
 
A survey of private equity investments in kenya
A survey of private equity investments in kenyaA survey of private equity investments in kenya
A survey of private equity investments in kenya
 
A study to measures the financial health of
A study to measures the financial health ofA study to measures the financial health of
A study to measures the financial health of
 
A study to evaluate the attitude of faculty members of public universities of...
A study to evaluate the attitude of faculty members of public universities of...A study to evaluate the attitude of faculty members of public universities of...
A study to evaluate the attitude of faculty members of public universities of...
 

Kürzlich hochgeladen

Emixa Mendix Meetup 11 April 2024 about Mendix Native development
Emixa Mendix Meetup 11 April 2024 about Mendix Native developmentEmixa Mendix Meetup 11 April 2024 about Mendix Native development
Emixa Mendix Meetup 11 April 2024 about Mendix Native developmentPim van der Noll
 
Digital Identity is Under Attack: FIDO Paris Seminar.pptx
Digital Identity is Under Attack: FIDO Paris Seminar.pptxDigital Identity is Under Attack: FIDO Paris Seminar.pptx
Digital Identity is Under Attack: FIDO Paris Seminar.pptxLoriGlavin3
 
Zeshan Sattar- Assessing the skill requirements and industry expectations for...
Zeshan Sattar- Assessing the skill requirements and industry expectations for...Zeshan Sattar- Assessing the skill requirements and industry expectations for...
Zeshan Sattar- Assessing the skill requirements and industry expectations for...itnewsafrica
 
QCon London: Mastering long-running processes in modern architectures
QCon London: Mastering long-running processes in modern architecturesQCon London: Mastering long-running processes in modern architectures
QCon London: Mastering long-running processes in modern architecturesBernd Ruecker
 
[Webinar] SpiraTest - Setting New Standards in Quality Assurance
[Webinar] SpiraTest - Setting New Standards in Quality Assurance[Webinar] SpiraTest - Setting New Standards in Quality Assurance
[Webinar] SpiraTest - Setting New Standards in Quality AssuranceInflectra
 
Potential of AI (Generative AI) in Business: Learnings and Insights
Potential of AI (Generative AI) in Business: Learnings and InsightsPotential of AI (Generative AI) in Business: Learnings and Insights
Potential of AI (Generative AI) in Business: Learnings and InsightsRavi Sanghani
 
Testing tools and AI - ideas what to try with some tool examples
Testing tools and AI - ideas what to try with some tool examplesTesting tools and AI - ideas what to try with some tool examples
Testing tools and AI - ideas what to try with some tool examplesKari Kakkonen
 
Data governance with Unity Catalog Presentation
Data governance with Unity Catalog PresentationData governance with Unity Catalog Presentation
Data governance with Unity Catalog PresentationKnoldus Inc.
 
UiPath Community: Communication Mining from Zero to Hero
UiPath Community: Communication Mining from Zero to HeroUiPath Community: Communication Mining from Zero to Hero
UiPath Community: Communication Mining from Zero to HeroUiPathCommunity
 
A Framework for Development in the AI Age
A Framework for Development in the AI AgeA Framework for Development in the AI Age
A Framework for Development in the AI AgeCprime
 
Passkey Providers and Enabling Portability: FIDO Paris Seminar.pptx
Passkey Providers and Enabling Portability: FIDO Paris Seminar.pptxPasskey Providers and Enabling Portability: FIDO Paris Seminar.pptx
Passkey Providers and Enabling Portability: FIDO Paris Seminar.pptxLoriGlavin3
 
How to write a Business Continuity Plan
How to write a Business Continuity PlanHow to write a Business Continuity Plan
How to write a Business Continuity PlanDatabarracks
 
New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024
New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024
New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024BookNet Canada
 
Decarbonising Buildings: Making a net-zero built environment a reality
Decarbonising Buildings: Making a net-zero built environment a realityDecarbonising Buildings: Making a net-zero built environment a reality
Decarbonising Buildings: Making a net-zero built environment a realityIES VE
 
Abdul Kader Baba- Managing Cybersecurity Risks and Compliance Requirements i...
Abdul Kader Baba- Managing Cybersecurity Risks  and Compliance Requirements i...Abdul Kader Baba- Managing Cybersecurity Risks  and Compliance Requirements i...
Abdul Kader Baba- Managing Cybersecurity Risks and Compliance Requirements i...itnewsafrica
 
The Fit for Passkeys for Employee and Consumer Sign-ins: FIDO Paris Seminar.pptx
The Fit for Passkeys for Employee and Consumer Sign-ins: FIDO Paris Seminar.pptxThe Fit for Passkeys for Employee and Consumer Sign-ins: FIDO Paris Seminar.pptx
The Fit for Passkeys for Employee and Consumer Sign-ins: FIDO Paris Seminar.pptxLoriGlavin3
 
2024 April Patch Tuesday
2024 April Patch Tuesday2024 April Patch Tuesday
2024 April Patch TuesdayIvanti
 
How to Effectively Monitor SD-WAN and SASE Environments with ThousandEyes
How to Effectively Monitor SD-WAN and SASE Environments with ThousandEyesHow to Effectively Monitor SD-WAN and SASE Environments with ThousandEyes
How to Effectively Monitor SD-WAN and SASE Environments with ThousandEyesThousandEyes
 
Glenn Lazarus- Why Your Observability Strategy Needs Security Observability
Glenn Lazarus- Why Your Observability Strategy Needs Security ObservabilityGlenn Lazarus- Why Your Observability Strategy Needs Security Observability
Glenn Lazarus- Why Your Observability Strategy Needs Security Observabilityitnewsafrica
 
Top 10 Hubspot Development Companies in 2024
Top 10 Hubspot Development Companies in 2024Top 10 Hubspot Development Companies in 2024
Top 10 Hubspot Development Companies in 2024TopCSSGallery
 

Kürzlich hochgeladen (20)

Emixa Mendix Meetup 11 April 2024 about Mendix Native development
Emixa Mendix Meetup 11 April 2024 about Mendix Native developmentEmixa Mendix Meetup 11 April 2024 about Mendix Native development
Emixa Mendix Meetup 11 April 2024 about Mendix Native development
 
Digital Identity is Under Attack: FIDO Paris Seminar.pptx
Digital Identity is Under Attack: FIDO Paris Seminar.pptxDigital Identity is Under Attack: FIDO Paris Seminar.pptx
Digital Identity is Under Attack: FIDO Paris Seminar.pptx
 
Zeshan Sattar- Assessing the skill requirements and industry expectations for...
Zeshan Sattar- Assessing the skill requirements and industry expectations for...Zeshan Sattar- Assessing the skill requirements and industry expectations for...
Zeshan Sattar- Assessing the skill requirements and industry expectations for...
 
QCon London: Mastering long-running processes in modern architectures
QCon London: Mastering long-running processes in modern architecturesQCon London: Mastering long-running processes in modern architectures
QCon London: Mastering long-running processes in modern architectures
 
[Webinar] SpiraTest - Setting New Standards in Quality Assurance
[Webinar] SpiraTest - Setting New Standards in Quality Assurance[Webinar] SpiraTest - Setting New Standards in Quality Assurance
[Webinar] SpiraTest - Setting New Standards in Quality Assurance
 
Potential of AI (Generative AI) in Business: Learnings and Insights
Potential of AI (Generative AI) in Business: Learnings and InsightsPotential of AI (Generative AI) in Business: Learnings and Insights
Potential of AI (Generative AI) in Business: Learnings and Insights
 
Testing tools and AI - ideas what to try with some tool examples
Testing tools and AI - ideas what to try with some tool examplesTesting tools and AI - ideas what to try with some tool examples
Testing tools and AI - ideas what to try with some tool examples
 
Data governance with Unity Catalog Presentation
Data governance with Unity Catalog PresentationData governance with Unity Catalog Presentation
Data governance with Unity Catalog Presentation
 
UiPath Community: Communication Mining from Zero to Hero
UiPath Community: Communication Mining from Zero to HeroUiPath Community: Communication Mining from Zero to Hero
UiPath Community: Communication Mining from Zero to Hero
 
A Framework for Development in the AI Age
A Framework for Development in the AI AgeA Framework for Development in the AI Age
A Framework for Development in the AI Age
 
Passkey Providers and Enabling Portability: FIDO Paris Seminar.pptx
Passkey Providers and Enabling Portability: FIDO Paris Seminar.pptxPasskey Providers and Enabling Portability: FIDO Paris Seminar.pptx
Passkey Providers and Enabling Portability: FIDO Paris Seminar.pptx
 
How to write a Business Continuity Plan
How to write a Business Continuity PlanHow to write a Business Continuity Plan
How to write a Business Continuity Plan
 
New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024
New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024
New from BookNet Canada for 2024: Loan Stars - Tech Forum 2024
 
Decarbonising Buildings: Making a net-zero built environment a reality
Decarbonising Buildings: Making a net-zero built environment a realityDecarbonising Buildings: Making a net-zero built environment a reality
Decarbonising Buildings: Making a net-zero built environment a reality
 
Abdul Kader Baba- Managing Cybersecurity Risks and Compliance Requirements i...
Abdul Kader Baba- Managing Cybersecurity Risks  and Compliance Requirements i...Abdul Kader Baba- Managing Cybersecurity Risks  and Compliance Requirements i...
Abdul Kader Baba- Managing Cybersecurity Risks and Compliance Requirements i...
 
The Fit for Passkeys for Employee and Consumer Sign-ins: FIDO Paris Seminar.pptx
The Fit for Passkeys for Employee and Consumer Sign-ins: FIDO Paris Seminar.pptxThe Fit for Passkeys for Employee and Consumer Sign-ins: FIDO Paris Seminar.pptx
The Fit for Passkeys for Employee and Consumer Sign-ins: FIDO Paris Seminar.pptx
 
2024 April Patch Tuesday
2024 April Patch Tuesday2024 April Patch Tuesday
2024 April Patch Tuesday
 
How to Effectively Monitor SD-WAN and SASE Environments with ThousandEyes
How to Effectively Monitor SD-WAN and SASE Environments with ThousandEyesHow to Effectively Monitor SD-WAN and SASE Environments with ThousandEyes
How to Effectively Monitor SD-WAN and SASE Environments with ThousandEyes
 
Glenn Lazarus- Why Your Observability Strategy Needs Security Observability
Glenn Lazarus- Why Your Observability Strategy Needs Security ObservabilityGlenn Lazarus- Why Your Observability Strategy Needs Security Observability
Glenn Lazarus- Why Your Observability Strategy Needs Security Observability
 
Top 10 Hubspot Development Companies in 2024
Top 10 Hubspot Development Companies in 2024Top 10 Hubspot Development Companies in 2024
Top 10 Hubspot Development Companies in 2024
 

Implementation of resource sharing strategy for power optimization in embedded processors

  • 1. Computer Engineering and Intelligent Systems www.iiste.org ISSN 2222-1719 (Paper) ISSN 2222-2863 (Online) 2863 Vol 3, No.8, 2012 Implementation of Resource Sharing Strategy for Power Optimization in Embedded Processors Kiritkumar Bhatt 1* Prof. A I Trivedi2 1. Electronics & Communication Engineering Department, Sardar Vallabhbhai Patel Institute of Technology – Vasad -388306, Gujarat – India. 2. Electrical Engineering Department, Faculty of Technology & Engineering, M S University of Baroda – 390001, rical Gujarat, India. *Email of corresponding author author: Krbhattec@gmail.com Abstract The processors are better suited to the diverse applications in daily life ranging from small toys to complex automated systems. For better mobility and reliability; the longer battery life is an essential need. Improvement in power efficiency of the processor is achieved by implementing resource sharing logic at the hardware level. This paper discusses the modified architecture of a 32 - bit RISC processor having four - pipeline stages. Here power improvement is achieved by implementing the proposed technique called resource sharing at th hardware the level and results are verified satisfactorily. The proposed work is simulated, synthesized, tested and verified by using tools such as VHDL simulator, Xilinx Sparten – 3E FPGA, ModelSim SE-6.5 and Xilinx ISE – 13.1 tool 6.5 and XPower Analyzer for power estimation and analysis purpose. ower Keywords: 32 – bit Low Power Processor , Power Efficient Embedded Processor, Resource Sharing, Low Low-Power Architecture, low – power design, low – power system, power minimization, power optimization, system design. 1. Introduction The continuous increase in power consumption with each successive generation of processors has started to affect the system size and cost so badly that this power/performance trade off has become increasingly difficult trade-off to justify in a competitive market. The processor complexity leads to demand more computation which in turn titive further increases total power consumption in the system and the power consumption impacts the system design. The trend in the desktop world of continuous growth in complexity and size of the underlying CPU in terms of complexity instruction issue strategies and the supporting micro micro-architecture needs to be re-examined for these devices, as examined the tradeoffs in energy consumption versus the improved performance obtained may achieved by a different set of design choices. Power consumption arises as a third axis in the optimization space in addition to the traditional speed (performance) and area (cost) Dimensions. Improvements in circuit density and the corresponding increase in heat generation must be addressed even generation for high-end desktop systems. Current trends in technology scaling of CMOS circuits cannot be reliably end sustained without addressing power consumption issues. Environmental concerns relating to energy consumption by computers and other electrical equipment are another reason for interest in low power designs and design nd low-power techniques. Hence, designing a low power processor is very important in today’s mobile devices. The greatest low-power advantage of it is the extension of device battery life and another one is the reduction in switching current which life leads to increase the reliability of the device. This processor design is based on 32 bit RISC principle and it emphasis on load/store mechanism, which is 32-bit used to eliminate the latency of memory operations. The processor has many registers, and operations are memory performed on data present in the registers. This paper focus on design and implementation of the processor based on pipelining and the modifications has been introduced in the data path at the hardware level to reduce the dynamic power dissipation which can be expressed by (K R Bhatt et al 2011,,Jevtic 2008). (1) Where C is output node capacitance, VDD is supply voltage and E(sw) is the average number of transistors in the circuit per cycle and fclk is the clock frequency. In this design power reduction is achieved by sharing the common available resources frequently instead of deploying a dedicated resource in the hardware, this strategy instead reduces the unnecessary switching activity (Esw)(Collange 2009, Steve Furber 2007). Further sections discuss the implementation of this technique for which one has to redefine certain instructions and the relevant logic is to instructions 35
  • 2. Computer Engineering and Intelligent Systems www.iiste.org ISSN 2222-1719 (Paper) ISSN 2222-2863 (Online) 2863 Vol 3, No.8, 2012 be implemented at the hardware level. el. 2. Low – Power CPU Design The architecture of processor is given in Fig.1, which consists of four stage pipeline. These stages are instruction fetch, decode, and execute and writ back. It also incorporates major units such as Data path, Control logic, write Memory and register blocks, the Data Forward Unit and the Hazard Detection Unit to maintain the proper flow of data through the pipeline stages (Kiritkumar Bhatt et al 2012, D Patterson 2005). The different stages of . pipeline architecture are separated by the pipeline registers. 2.1 Data path: The pipeline stages for different type of instructions mentioned in Table 1 are shown in the Fig. 2. In the fetch stage, instructions are fetched at every cycle from the instruction memory whose address is pointed by the ched program counter (PC). During the decode stage, the registers are read from the register file and the op op-code is passed to the control unit which asserts the required control signals. Sign extension is taken care for the signals. calculation of effective address. In the execute stage, for Register type instructions, the ALU operations are performed according to the ALU operation control signals and for load and store instructions, effecti address effective calculation is done. The load and store instructions write to and read from the data memory using the data forwarding logic while the ALU results and the data read from the data memory are written in to the register file by the register type and load instructions respectively in the write write-back stage (Pande et.al.2008,Gautam P 2009, L. Benini 2000). The data-path contains pipeline registers in between each of the stages. These registers are used to s in-between carry-over results from the previous to the follo us following stages. 2.2 Control Logic: The pipeline is controlled by setting control values during each pipeline stage. Each control signal is active only during a single pipeline stage and hence the control lines can be divided according to the four pipelined s stages. These signals will be forwarded to the adjacent stage through the pipeline registers (D. Folegnani 2001) 2001). 2.3 Data Forward Unit: It maintains correct data flow to the ALU also compares the destination register address of the data waiting in buffer and writes back pipeline registers to be written back to the register file with the current data required by nd ALU and forward the latest data to it it(B.Mayer 2001,M.Krste et.al. 2002). By forwarding the data at the . appropriate time, this unit assures pipeline does not stall as a result of the data dependencies, which arise when an instruction needs to use the result of one of the processes before that result has returned to the register file. The forwarding paths allow results to be passed between stages as soon as they are available. And the 4 – stage soon pipeline requires each source operand to be forwarded from any of intermediate result registers. 2.3 Memory and Register Blocks: The data and code memories are 32 X 256 bytes and 32X1024 bytes respectively while the register block is 32X32 bytes. The code memory is implemented as on chip distributed ROM while the data memory as block on-chip RAM inside the FPGA. 2.4 Hazard Detection Unit: This module detects the conditions under which data forwarding is not possible and the pipeline stalls for one or the two clock cycles in order to make sure that instructions are executed with the correct data set. When it detect stall, it disables any write operation in the instruction decode pipeline, stops PC from incrementing, and clears all the control signals generated by the control unit. By taking these steps it can delay the execution of any instruction by one clock cycle. It can do this as many times as necessary to ensure proper execution of instruction. 2.5 Instructions Supported by Architecture: y This processor architecture supports mainly three types of instructions: Register Type (R-Type), Immediate Type (R- (I-Type) and Jump Type (J- Type). Table1 lists the total instructions implemented in the processor processor[7,12,17]. 3. Proposed Resource Sharing Strategy for Power Optimization ce This proposed technique is implemented during the designing of the decode stage of the processor. All most all the processors’ design supports two types of the instructions addressing modes out of many others wh instructions’ which are immediate addressing and direct register addressing types. For example the addition operation can be performed by both the types as mentioned in Table 2. Here, both the instructions performs almost the same operation i.e. addition and the operand 1 is common i.e. register r1. The operand 2 can be either immediate data value or a register depending on the type of instruction. To introduce resource sharing technique both the opcode is required to decode to same operation that is for addition Addi the opcode is 001110 and for Add the opcode is 000001 is decoded to perform the addition 36
  • 3. Computer Engineering and Intelligent Systems www.iiste.org ISSN 2222-1719 (Paper) ISSN 2222-2863 (Online) 2863 Vol 3, No.8, 2012 operation and assigned opecode is 000101 000101(Z.Zhu 2005,I.Ahmad 2011). Instead of using the separate resources for both the instructions which perform the same operation, this technique channelize the instruction into one and hence the saving of half the resources is achieved from the decode stage which would have been required if both the instructions were executed differently using their separate resources. Thus in execute stage only one adder does the work for both types of instructions. Thus much stage amount of power was saved by resource sharing just by adding some control signals in the decode stage and eliminating many flip – flops, comparators and muxes which would have been required in the later stage. Through Resource sharing following instructions are channelized to one instruction and the considerable power saving was achieved. This technique can be applied to all the stages with different logic as applied to decode stage here. Thus from fetching, executing and write back stages considerable amount of resources are saved (J Ayala2002, 2003, X Guan 2008, D. Brooks200). During the instruction fetch operation the Program Counter (PC) Brooks200). is either incremented by 1 or incremented/decremented by the content of branch address from the current incremented/decremented position of PC. To perform this operation two adders and one subtractor is required. During the design of processor twos’ complement logic was used for instruction fetching during the branching o operation, which removes one subtractor. Further, the resource sharing is implemented by using 2:1 MUX with inputs of value 1 and 2’s complement branch address and a branch signal as select line. This MUX output is then added to current PC to generate the next PC value. This reduces further one adder as shown in following figure 3. Where X1 is X “00000001”, X2 is PC (31 downto 0) and Y1 is PC_incr signals. The Program Counter operation can be expressed as follows: PC = PC + PC_incr; _incr; In Execute Stage the ALU required 2 Adders for the operations such as addition and increment i.e. one for e addition ( Add, Addi) and one for Increment (Inc) and 2 subtractors for subtraction and decrement i.e. one for subtraction(Sub, Subi) and one for Decrement (D (Dec). In this proposed work during execute stage instead of using 4 adders/subtractors dedicated to each operation one adder and a multiplexer have been implemented during the design of the CPU and the resource utilization have been optimized by which the power reduction has been achieved. The design and the functionality of the power newer logic which is implemented in the CPU can be explained through the following example and implemented as shown in figure 4. Let us consider four operations are to be taken care D.Brookes 2000, A.Merkel 2006,K care(D.Brookes Scoones 2007): (a) Add: a + b; dd: (b) Inc: a + 1; (c) Sub: a – b; (d) Dec: a – 1; To achieve the resource sharing b register is given the input value through the 4:1 MUX with the opcode as select line, as shown in following figure 4. And after the implementation of this logic the single adder is used to generate the results and the logic for all the cases to perform C = a + b is as shown below. 4. Power Analysis of The Proposed Strategy roposed This section describes the power summary generated using the Xpower Analyzer. Two times the power analysis tion has been carried upon the system under consideration, once before the implementation of the resource sharing logic at the design level and the next time after its implementation. The Table 4 describes the power requirement after for different clock frequencies upon which the power requirement is analysed ( K R Bhatt 2011, R Jevtic 2008, J H Anderson 2004). It is noted from the table that the power consumption is increased with the increase in the clock frequency increased for both the architectures but the modified CPU always consumes less power compared to the conventional CPU structure for all the clock frequencies. Graphical view of this comparison can be represented as sshown in figure 5. First time the power analysis was carried on the conventional CPU and the power summary sheet is as shown in the figure 6 and the second time on the CPU with the modified logic structure in order to achieve the power improvement. The power summary sheet generated by the system after the implementation of resource sharing strategy is wer as shown in figure 7. The figure 8 shows the graphical comparison of power requirements of the various parts of the systems for both the standard CPU and for the CPU with modified logic structure. It is noted that the power improvement is achieved by 3mW (2.65%) in the system after the implementation of the Resource Sharing logic in the conventional CPU at the hardware design level. Thus the CPU with modified architecture performs the functionality with lesser power. The power d 37
  • 4. Computer Engineering and Intelligent Systems www.iiste.org ISSN 2222-1719 (Paper) ISSN 2222-2863 (Online) 2863 Vol 3, No.8, 2012 improvement is achieved here is only because of the newly designed strategy, but further improvement can be better achieved by implementing many other strategies at the various abstraction levels of the system design. abstraction The system under experiment has been implemented on Xilinx – SPARTAN – 3E FPGA and synthesized for the analysis purpose. The table 5 describes the summary of synthesis report. 5. Conclusion A newly designed processor with 32 – bit 4 – stage pipeline based on RISC principal has been successfully implemented. This hardware system is simulated, synthesized and verified by using VHDL coding, Xilinx ISE 13.1, Modelsim 6.5and Xilinx SPARTAN – 3E FPGA as a target device. This paper suggested an innovative er strategy for resource sharing for power optimization; by which 2.65% improvement in power consumption is achieved. The power analysis of the design is done before and after the implementation of resource sharing strategy by using Xpower Analyzer and 3 mW corrections is offered by the design. g The resource sharing logic is also tried for different clock frequencies and concluded that the power consumption is increased with the increase in the operating frequencies but the modified design consumes less power for all the frequencies. This design has been further optimized up to 14% of power saving by implementing various other techniques such as clock gating (Kiritkumar Bhatt et al2012), coding techniques means one al2012), one-hot coding, Gray coding, bus inversion, 2’s complement versus sign magnitude which are not within the scope of this paper. Also oding, at the memory level the memory sub banking as the storage element and partitioning between sequential and sub-banking combinational circuits can be taken care (D. Brookes 2000,kScoones 2007,Gautam P 2009) The arithmetic 2009). instructions have an NOP stage in memory stage while there is no NOP during write back stage of the arithmetic instructions, so write back stage of the arithmetic instructions can be moved to a memory stage without causing any resource conflicts to reduce the transitions. Here, only hardware and the logic level techniques have been considered and the techniques related to manufacturing process have not opted. Thus, power consumption is a major factor that limits the performance of computers. Many techniques are factor available to reduce the total power consumption by a microprocessor system; these techniques are applied at various abstraction levels. Authors believe that the power management needs multidimensional inputs which are . multidimensional continually expanding with new techniques being developed at every abstraction levels. Yet, it is too early to say that which technique will solve the problem of power consumption. But it is for sure that this work can be taken as base and one can keep developing and adding more and more techniques to en the processor system under experiment at different levels and can achieve a power optimized processor. References K R Bhatt, A I Trivedi (2008), “ Power Computation Model of CMOS based FPGA used for Power , CMOS Optimization”, Int. Journal of PDCS, Vol.3, No. 13, pp. 759 – 762. R. Jevtic, C. Carreras, and G. Caffarena(2008), “Fast and accurate power estimation of FPGA DSP components based on high-level switching activity models,” Int. J. Electron., vol. 95, no. 7, pp. 653–668. level 668. J. H. Anderson and F. N. Najm(2004) “Power estimation techniques for FPGAs,” IEEE Trans. Very Large Scale (2004), Integr. (VLSI) Syst., vol. 10, no. 12, pp. 1015 , 1015–1027. Intel(2011), “High-Performance Energy Performance Energy-Efficient Processors for Embedded Market Segments cessors Segments,” http://www.intel.com/design/ embedded/downloads/ 315336.pdf. S. Collange, D. Defour, and A. Tisserand Tisserand(2009), “Power Consumption of GPUs from a Software Perspective,” , Proc. ACM Ninth Int’l Conf. Computational Science (ICCS). CM Steve Furber(2007), “ ARM – System on – Chip Architecture”, IInd Edition, Pearson Education. Kiritkumar Bhatt, Saurabh Patel, A I Trivedi Trivedi(2012), “ Power Optimized Embedded Processor Design with Parallel Pipelining”, Int. Journal of PDCS, Vol. 4, No.1, pp 54 – 60.. pelining”, D A Patterson, J L Hennessy(2005) Computer Organization and Design, the Hardware/Software Interface”, (2005),” Morgan Kaufmann. P. Pande, A. Ganguly, B. Belzer, A. Nojeh, and A. Ivanov Ivanov(2008), “Novel Interconnect Infrastructures for Massive terconnect Multicore Chips—An Overview,” Proc. IEEE Int’l Symp. Circuits and Systems (ISCAS). An D. Folegnani and A. Gonza´lez(2001) “Energy-Effective Issue Logic,” Proc. 28th Int’l Symp. Computer (2001), Effective Architecture, pp. 230-239. Kiritkumar Bhatt, A I Trivedi(2011), “ Power Estimation of Switching Activity for Low – Power Implementation on FPGA”, Int. Journal of Programmable Devices Circuits and Systems, Vol. 3, No. 14, pp. 803 – 807. Gautam P, Parthsarathy R, Karthi Balasubramanian Balasubramanian(2009), “ Low – Power Pipeliens MIPS Processor Design”, 38
  • 5. Computer Engineering and Intelligent Systems www.iiste.org ISSN 2222-1719 (Paper) ISSN 2222-2863 (Online) 2863 Vol 3, No.8, 2012 Proc. Of Int. Conf. On ISIC pp.462 – 465. L. Benini, A. Bogliolo and G. Micheli Micheli(2000),”A Survey of Design Techniques for System – Level Dynamic ,”A Power Management”, IEEE Trans. On VLSI S Systems, pp. 299 – 316. B. Mayer(2011), “Low – Power Design for Embedded Processors”, Proc. Of IEEE Vol. 98, No.11 No.11. F.J. Cazorla, A. Ramý´rez, M. Valero, and E. Ferna´ndez Ferna´ndez(2004), “Dynamically Controlled Resource Allocation in , SMT Processors,” Proc. 37th Int’l Symp. Microarchite Microarchitecture, pp. 171-182. Krste Asonavoic, Mark Hampton, Ronny Krashinsky and Emmett Witchel (2002), “ Power Aware Computing”, , Kluwer Academic Publishers. M. Pedram(2001), “ Power Optimization and Management in Embedded Systems” , Proc. Of Int. Conf. On Asia , South Pacific Design Automation Conference, pp. 239 – 244. th S. Lee and J. Gaudiot(2006), “Throttling Based Resource Management in High Performance Multithreaded , “Throttling-Based Architectures,” IEEE Trans. Computers, vol. 55, no. 9, pp. 1142 1142-1152. Z. Zhu and X. Zhang(2005), “Look Ahead Architecture Adaptation to Reduce Processor Power Consumption,” ook-Ahead IEEE Micro, vol. 25, no. 4, pp. 10-19. 19. I. Ahmad and S. Ranka(2011), Handbook of Energy Aware And Green Computing. Taylor and Francis Group, , Energy-Aware CRC Press. J L Ayala, A. Veidenbaum and M L Valejo(2003), “ Power _ Aware Compilation for Register File Energy , Reduction”, Int. Journal of Parallel Program, Vol.31, No. 6, pp. 451 – 467. J L Ayala, A. Veidenbaum(2002), “ Reducing Register File Energy Consumption using Compiler Support”, , presented at workshop on Application – Specific Processors, Istabbul, Turkey. X.Guan, Y.Fei(2008), “ Reducing Power Consumption through Register File Partitioning and Compiler Support”, , Proc. Application Specific Systems, Architectures, Processors,pp.269 – 274. D. Brooks, et al(2000)., “Power , “Power-Aware Micro-architecture: Design and Modeling Challenges for architecture: Next-Generation Microprocessors”, IEEE Micro, vol. 20, No. 6, pp. 26– 44. D.Brookes,V.Tiwari, Matronosi (2000) “ Wattch: A framework for Architecture – Level Power An (2000), Analysis and Optimization”, proc. 27th Ann. Int. Symp. Computer Architecture, pp. 83 – 94. A. Merkel, F.Bellosa(2006), “Balancing Power Consumption in Multiprocessor Systems”, proc. ACM Eurosys , Conf. K.Scoones(2007), “Power Management Technology for Portable Devices”, Austin Conf. Energy – Efficient , Design. Table 1 Instructions Supported by the CPU Instruction Types Instructions Implemented Register Add, Sub, Mul, Or, And, Xor, Mov, Inc, Dec, Cmp Immediate Addi, Subi, Muli, Ori, Andi, Xori, Movi Branch Bz, Bnz, Br, Table 2 Addition Operation Operation Instruction format Immediate Addressing Type Operation: Addi r1 , 30 bit (Immediate Data) Add r1 , r2 Direct Addressing Type Operation: 39
  • 6. Computer Engineering and Intelligent Systems www.iiste.org ISSN 2222-1719 (Paper) ISSN 2222-2863 (Online) 2863 Vol 3, No.8, 2012 Table 3 List of Instruction on which Resource Sharing Applied Instruction 1 Instruction 2 Operation Decoded to Opcode Add (000001) Addi (001110) Addition Addition (000101) Sub(000010) Subi(001111) Subtraction Subtraction (00010 (000100) Mul(000011) Muli(010000 Multiplication Multiplication (000110) Or(000100) Ori(010001) OR Orring (000001) And(000101) Andi(010010) AND Anding (000011) Xor(000110) Xori(010011) XOR Xorring (000010) Mov(000111) Movi(010100) Move Move(000000) Ror(001000) Rori(010101) Rotate Right Rotate Right(001000) Rol(001001) Roli(010110) Rotate Left Rotate Left(001100) Srl(001010) Srli(010111) Shift Right Shift Right(001101) Sll(001011) Slli(011000) Shift Left Shift Left(001110) Load (001100) Loadi(011001) Load Same Load Signal for Both Store (001101) Stori(011010) Store Same Store signal for Both Table 4 Power Requirement at Different Clock Frequencies Clock (MHz) Power Consumption in Power Consumption in Modified CPU Conventional CPU design (mW) design (4 – stage) (mW) 10 97 95 20 105 102 30 111 109 40 113 110 50 124 121 60 126 124 70 130 127 80 134 130 90 138 133 100 144 137 40
  • 7. Computer Engineering and Intelligent Systems www.iiste.org ISSN 2222-1719 (Paper) ISSN 2222-2863 (Online) 2863 Vol 3, No.8, 2012 Device Utilization Summary Selected Device : XC3s500efg320 XC3s500efg320-4(SPARTAN – 3E FPGA) Devices Utilization Devices Used Out of % Utilization Number of Slices 1703 4656 36% Number of Slice Flip Flops 656 9312 7% Number of 4 input LUTs 3220 9312 34% Number of bonded IOBs 2 232 0% Number of BRAMs 9 20 45% Number of MULT18X18SIOs 3 20 15% Number of GCLKs 1 24 4% Number of IOs 2 Timing Summary Speed Grade 4 Minimum period 18.768ns Maximum Frequency 53.283MHz Table 5 Summary of Synthesis Report 41
  • 8. Computer Engineering and Intelligent Systems www.iiste.org ISSN 2222-1719 (Paper) ISSN 2222-2863 (Online) 2863 Vol 3, No.8, 2012 Fig. 2 Pipeline Stages for Various Instructions Fig.1 Low - Power Architecture of CPU X1 MUX Y1 X2 Branch Fig.3 MUX Usage for Reducing Resources 42
  • 9. Computer Engineering and Intelligent Systems www.iiste.org ISSN 2222-1719 (Paper) ISSN 2222-2863 (Online) 2863 Vol 3, No.8, 2012 Bin (31 downto 0) X “00000001” MUX (2’s Complement B of -1)X”FFFFFFFF” 2’s Complement of – b)not(b) + 1 Opcode (5 downto 0) Fig. 4 Resource Sharing Logic for above examples Fig. 5 Comparison of P Power Consumption 43
  • 10. Computer Engineering and Intelligent Systems www.iiste.org ISSN 2222-1719 (Paper) ISSN 2222-2863 (Online) 2863 Vol 3, No.8, 2012 Fig. 6 Power Summary sheet before Resource Sharing Fig. 7 Power Summary sheet after Resource Sharing 44
  • 11. Computer Engineering and Intelligent Systems www.iiste.org ISSN 2222-1719 (Paper) ISSN 2222-2863 (Online) 2863 Vol 3, No.8, 2012 Fig. 8 Comparison of Power Requirement for both the structures Kiritkumar Bhatt (Vadodara – Oct’1972) presently pursuing his research and has received Bachelor’s (1997) and Master’s (2002) Degree with specialization in Microprocessor Systems and Applications from the Elect. Engg. Dept., Faculty of Tech. & Engg, M S University of Baroda. At present he is working as an Associate Professor in the E & C Engg. Dept.,at Sardar Vallabhbhai Patel Institute of orking Technology – Vasad, Gujarat – India. He has 14 years of experience as an academician. He authored number of papers in national /international journals/conferences of repute, also worked as designated reviewer for many IEEE conferences. He has journals/conferences guided and worked on many UG and PG projects. He is member of various professional bodies such as IETE, SPE, ISTE, IE(I), – India. His research interest includes power estimation, optimization and system level dynamic power management, low – power processor and stimation, system-level embedded system design and implementation. Prof. A.I. Trivedi is M.Phil, DIC, B.Tech (Hons) . He is professor in Electrical Engineering department in Factulty of Technology, M.S.University, Baroda. His research areas are Communication Systems, Image processing, DSP etc. He has guided four Ph.D. students and six are doing Ph.D. under his guidance. He has published many research papers and articles in referred journals and international conference proceedings. 45
  • 12. This academic article was published by The International Institute for Science, Technology and Education (IISTE). The IISTE is a pioneer in the Open Access Publishing service based in the U.S. and Europe. The aim of the institute is Accelerating Global Knowledge Sharing. More information about the publisher can be found in the IISTE’s homepage: http://www.iiste.org The IISTE is currently hosting more than 30 peer-reviewed academic journals and collaborating with academic institutions around the world. Prospective authors of IISTE journals can find the submission instruction on the following page: http://www.iiste.org/Journals/ The IISTE editorial team promises to the review and publish all the qualified submissions in a fast manner. All the journals articles are available online to the readers all over the world without financial, legal, or technical barriers other than those inseparable from gaining access to the internet itself. Printed version of the journals is also available upon request of readers and authors. IISTE Knowledge Sharing Partners EBSCO, Index Copernicus, Ulrich's Periodicals Directory, JournalTOCS, PKP Open Archives Harvester, Bielefeld Academic Search Engine, Elektronische Zeitschriftenbibliothek EZB, Open J-Gate, OCLC WorldCat, Universe Digtial Library , NewJour, Google Scholar